KR100335970B1 - 열처리 장치 - Google Patents

열처리 장치 Download PDF

Info

Publication number
KR100335970B1
KR100335970B1 KR1019960045984A KR19960045984A KR100335970B1 KR 100335970 B1 KR100335970 B1 KR 100335970B1 KR 1019960045984 A KR1019960045984 A KR 1019960045984A KR 19960045984 A KR19960045984 A KR 19960045984A KR 100335970 B1 KR100335970 B1 KR 100335970B1
Authority
KR
South Korea
Prior art keywords
gas
reaction chamber
wafer
chamber
processing
Prior art date
Application number
KR1019960045984A
Other languages
English (en)
Other versions
KR970023859A (ko
Inventor
기미히로 마츠세
츠요시 하시모토
미츠히로 다치바나
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR970023859A publication Critical patent/KR970023859A/ko
Application granted granted Critical
Publication of KR100335970B1 publication Critical patent/KR100335970B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Furnace Details (AREA)

Abstract

본 발명은 막두께의 면내균일성을 악화시키는 일 없이 처리가스의 피처리체의 안쪽면측에 대한 돌아 들어감을 확실하게 방지할 수 있는 열처리장치에 관한 것으로서,
처리가스가 공급되는 반응실과 백사이드가스가 공급되는 가스실을 안에 갖는 처리용기와, 이 처리용기내에 배치되어 피처리체를 이 일면이 반응실에 노출되도록 지지하는 수단과, 반응실내에 설치되어 상기 피처리체와 맞붙는 크램프위치와 피처리체와 떨어진 대기위치의 사이에서 이동 가능하며 피처리체의 일면이 노출 가능한 개구를 규정하는 내주단면을 갖는 링상의 누름부재, 이 누름부재는 크램프위치에서 피처리체와 전체둘레에 걸쳐서 선접촉으로 맞붙고 반응실로부터 처리가스가 누름부재와 피처리체의 사이로부터 새는 것을 방지하는 시일수단을 갖는 것과, 상기 누름부재를 크램프위치와 대기위치의 사이에 선택적으로 이동시키는 구동수단과, 상기 반응실에 처리가스를 공급하는 수단과, 상기 가스실에 백사이드가스를 공급하는 수단과, 상기 피처리체를 가열하는 수단을 구비하는 것을 특징으로 한다.

Description

열처리장치
본 발명은 반도체웨이퍼 등의 피처리체의 표면에 성막처리 등을 실시하는 열처리장치에 관한 것이다.
일반적으로 반도체집적회로의 제조공정에 있어서는 반도체웨이퍼표면에 배선패턴이나 전극 등을 형성하기 위해 W(텅스텐), WSi(텅스텐실리사이드), Ti(티탄), TiN(티탄나이트라이드), TiSi(티탄실리사이드) 등의 금속, 합금 또는 금속화합물을 웨이퍼표면에 퇴적시켜서 박막을 형성하는 것이 실시되고 있다.
이 종류의 박막을 형성 하는 장치로서는 예를 들면 제 11 도에 나타내는 바와 같은 램프가열형의 열처리장치가 사용되고 있다. 이 열처리장치(2)는 알루미늄 등에 의해 통체상으로 형성된 처리용기(4)를 갖고, 이 용기(4)내에는 예를 들면 카본소재 또는 알루미화합물에 의해 성형된 얇은 재치대(8)가 설치되어 있다. 이 재치대(8)는 원통상의 기대와, 이 기대의 상부로부터 내부 윗쪽으로 연장되고 서로 등간격에 위치하는 3개의 지지아암부로 이루어지는 지지체(7)에 의해 용기(4)의 바닥벽으로부터 이간하여 지지되어 있다. 재치대(8)의 아래쪽에 위치하는 용기(4)의 바닥벽의 부분에는 석영제의 투과창(10)이 설치되어 있다. 이 용기 (4)의 바닥면에는 상기 투과창을 수용하도록 하고, 또한 속에 할로겐램프 등의 가열수단(12)이 화살표시 방향으로 회전 가능하게 배치된 서브박스가 부착되어 있다.
성막처리에 있어서는 처리용기(4)내는 기밀상태로 유지되고 가열수단(12)이 점등되어 이들로부터의 열선이 투과창(10)을 투과하여 재치대(8)에 도달하고 이를 가열한다. 이 결과 재치대상에 재치되어 있는 반도체웨이퍼(W)는 소정의 온도로 간접적으로 가열유지된다. 이 가열시에 재치대(8)의 윗쪽에 설치된 샤워헤드(14)로부터 프로세스가스로서 예를 들면 WF6나 환원가스로서의 H2가 웨이퍼면상에 균일하게 공급된다. 이 결과 웨이퍼면에 텅스텐 등의 금속박막이 형성된다. 재치대(8)의 아래쪽의 공간내, 즉 지지체(7)내에 이 공간내에 처리가스가 돌아 들어가서 재치대(8)의 안쪽면이나 투과창(10)에 불투명한 원인이 되는 막이 부착하는 것을 방지 하기 위해 Ar가스나 N2가스 등의 비활성가스로 이루어지는 백사이드가스를 도입하기 위한 가스도입관(11)이 설치되어 있으며 처리가스의 웨이퍼의 외주면 및 안쪽면에 대한 돌아 들어감을 방지하고 있다(일본 특허 공개공보92-124820호, 일본 특허 공개공보94- 120145호).
반도체집적회로의 예를 들면 MOSFET구조 등에 있어서는 실리콘(Si)으로 이루어지는 전극형성영역에 직접적으로 알루미늄이나 텅스텐의 전극이나 배선을 CVD방법으로 형성하면 이들 재료가 기판재료인 Si를 흡수하는 흡입 현상이 발생하여 Si가 전극에 석출하는 등으로 하고, 또는 텅스텐원자가 Si웨이퍼속에 침입하는 등으로 하여 전극형성부의 콘택트저항을 크게 시키는 불합리를 발생시키는 경우가 있다. 그래서 이 Si기판의 흡입이나 텅스텐의 침입을 억제하는 대책으로서 열 등에 대하여 매우 안정된 배리어메탈막을 양자의 사이에 개재시키는 것이 실시되고 있다. 이 배리어메탈로서는 상대금속에도 의하지만 Ti(티탄), W(텅스텐), Mo(몰리브덴) 등의 고융점금속 및 그 실리사이드, TiN(티탄나이트라이드) 등이 이용되고 스퍼터링으로 성막된다.
제 12 도는 실리콘웨이퍼 (W)의 표면에 예를 들면 TiN박막, 즉배리어메탈(16)막을 형성하고, 이 위에 배선으로서의 텅스텐막(18)을 형성한 상태를 나타내고, 이 배리어메탈(16)에 의해 배선에서의 기판재료의 Si의 석출을 방지하고 있다.
상기한 바와 같이 일반적으로는 상기 배리어메탈막이나 TiN막은 스퍼터 처리에 의해, 그리고 텅스텐막(18)은 제 11 도를 참조하여 설명한 열처리장치를 이용하여 CVD에 의해 성막되어 있다.
이 경우 스퍼터링 성막에서는 방향성이 높기 때문에 TiN박막(16), 즉 배리어메탈(16)막은 웨이퍼(W)의 가장자리틀부에서 정밀도 좋게 막부착의 종단으로 되어 있는데, 이 상면의 텅스텐막(18)의 형성은 CVD에 의하기 때문에 스텝커버레지가 좋고 제 12 도에 나타내는 바와 같이 웨이퍼(W)의 안쪽면측에도 가스가 돌아 들어가서 불필요성막부(20)가 형성되어 버린다.
이 불필요성막부(20)는 배리어메탈(16)을 통하지 않고 직접 Si웨이퍼(W)의 표면에 부착하고 불균일하고 얇기 때문에 웨이퍼로부터 벗겨지기 쉬우며, 이 벗겨진 금속에 의한 파티클이 발생하기 쉬워지는 문제가 있다.
이 경우 재치대(8)의 표면에 웨이퍼(W)의 하면이 밀착하여 재치되어 있는 것에서 웨이퍼안쪽면측에 대한 처리가스의 돌아들어감은 발생하지 않는 것 같이도 생각되지만 양자의 사이에는 약간의 간격이 발생해 있으며, 또한 CVD에 의한 텅스텐성막은 직경 0. 5마이크로미터정도의 미소한 구멍도 충분히 메울 수 있을 정도의 스텝커버레지를 갖는 것에서 웨이퍼안쪽면에 처리가스가 용이하게 돌아들어간다. 이와 같은 처리가스의 돌아들어감을 방지하기 위해 재치대(8)의 아래쪽의 방에 공급하는 백사이드가스의 유량을 늘려서 웨이퍼둘레틀부로부터 윗쪽으로 분출하는 가스량을 많게 하는 것도 생각되는데, 이 경우에는 윗쪽의 반응실내에 분출한 백사이드가스가 이 부분의 처리가스를 희석해버리는 것에서 부분적으로 성막의 두께가 얇아지고 막두께의 면내균일성을 저하시켜버리는 것에서 채용할 수 없다.
다른 성막장치로서 미국특허 제 5304248호에 나타내는 바와 같이 재치대의 외주에 상하이동 가능하게 실드링이 설치되고 서셉터가 상승하여 성막위치에 가져가졌을 때에 서셉터상의 웨이퍼둘레틀부 상면 전체를 위로부터 실드링의 내측립으로 누르도록 한 구조의 장치도 알려져 있다. 이 장치에 있어서도 시일효과는 충분하지는 않고 처리가스가 웨이퍼안쪽면으로 새며, 이 안쪽면에 막을 형성해버릴 염려가 있다. 이 이유를 여러가지로 검토한 결과, 이 경우에는 웨이퍼둘레틀부와 실드링의 내측립이 면접촉하고 있기 때문에 이 부분의 기밀성은 미크로적으로 보면 상당히 뒤떨어지고 처리가스가 이 접촉부분을 지나서 아래쪽으로 새는 것을 충분하게는 방지 할 수 없는 것에 의해 성막이 발생하고 있는 것을 알 수 있었다.
본 발명의 목적은 막두께의 면내균일성을 악화시키는 일 없이 처리가스의 피처리체의 안쪽면측에 대한 돌아들어감을 확실하게 방지할 수 있는 열처리장치를 제공하는데 있다.
이하에 본 발명에 관련되는 열처리장치의 한 실시예를 첨부도면을 기초로 하여 설명한다.
제 1 도는 본 발명에 관련되는 열처리장치의 한 예를 나타내는 단면도,
제 2 도는 제 1 도에 나타내는 재치대의 둘레틀부를 나타내는 확대단면도,제 3 도는 제 1 도에 나타내는 장치에 이용하는 어태치먼트부재를 나타내는 평면도, 제 4 도는 제 1 도에 나타내는 장치에 이용하는 피처리체누름부재를 나타내는 평면도이다.
본 실시예에서는 열처리장치로서 가열램프를 이용한 고속승온이 가능한 매엽식의 성막장치를 예로 들어서 설명한다.
이 성막장치(22)는 윗벽과, 둘레벽과, 바닥벽으로 이루어지고 밀봉 가능한 바람직하게는 원통상으로 성형된 처리용기(24)를 갖고 있다. 이 처리용기는 알루미늄과 같은 금속에 의해 형성되어 있다. 이 처리용기(24)내에는 이와 동축적으로 상단과 하단이 개구한 지지원통(26)이 설치되어 있다. 이 지지원통(26)의 하단은 처리용기의 바닥벽에 고정되어있다. 지지원통(26)의 상단에는 서로 둘레방향으로 등간격을 갖고 복수의 지지부재(28)가 지지되어 있다. 이 바람직한 예에서는 3개의 지지부재(28)가 서로 약 120°의 간격을 갖고 설치되어 있다. 각 지지부재(28)는 수평아암부와 수직아암부로 이루어지는 L자 상으로 형성되어 있다. 수평아암부의 기단부는 지지원통(26)의 상단에 부착되어 있고, 이 선단부는 지지원통(26)의 중심을 향하여 수평으로 연장되어 있으며, 그 연장돌출단에는 윗쪽으로 연장되는 수직아암부가 일체적으로 형성되어 있다. 이들 수직아암부의 상단은 원판상의 재치대(30)의 하면둘레틀부에 각각 접속되고, 이 결과 지지부재는 재치대(30)를 지지원통(26)에 대하여 동심적으로 수평으로 지지하고 있다. 이 재치대(30)는 이 위에 재치되는 피처리체로서의 반도체웨이퍼(W)와 대략 같은 직경이 되도록 그 디멘션이 설정되어 있다. 이 지지원통(26) 및 지지부재(28)는 열선투과성의 재료, 예를들면 석영에 의해 구성되어 있고, 또 재치대(30)는 두께 1mm∼5mm 정도의 내열성이 있으며 열전도성이 좋은 재료, 예를 들면 카본소재, 알루미화합물, 예를 들면 AlN(질화알루미) 등에 의해 구성되어 있다.
이 재치대(30)의 아래쪽에는 복수개, 예를 들면 3개의 L자상의 리프터핀(32)이 설치되어 있다. 각 리프터핀(32)은 도시하지 않는 링에 의해 서로 연결되고 함께 상하방향으로 이동 가능하게 되어 있다. 각 리프터핀은 재치대(30)의 아래에 위치하고 수직으로 연장된 수직아암부와, 이 수직아암부의 하부로부터 지지원통(26)의 바깥으로 수평으로 연장된 수평아암부에 의해 구성되어 있다. 이들 리프트아암이 상하방향으로 이동 가능하도록 지지원통(26))에는 수직 방향으로 연장되는 슬롯이 형성되어 있으며 상기 수평아암부는 이 슬롯을 관통하고 있다. 1개의 리프트핀의 수평아암부의 연장돌출단에는 구동막대(34)의 상단이 접속되어 있다. 이 구동막대(34)는 처리용기의 바닥벽에 형성된 투과구멍을 관통하여 용기 밖에 아래쪽으로 수직으로 연장되어 있다. 이 구동막대(34)는 이들 하단에 관련하여 설치된 엑튜에이터(40)에 의해 상하방향으로 이동 가능하다. 이렇게 하여 구동막대(34)가 윗쪽으로 이동될 때에는 3개의 리프트핀(32)도 윗쪽으로 이동되고 이들 수직아암부의 상부가 재치대(30)에 관통시켜서 형성된 리프터핀구멍(36)을 관통하여 재치대(30)의 상면으로부터 돌출하여 재치대(30)상에 재치되어 있는 와이퍼(W)를 재치대로부터 들어 올릴 수 있다. 반대로 구동막대(34)가 아래쪽으로 이동될 때에는 이 선단에 지지되어 있었던 웨이퍼를 재치대상에 재치시킬 수 있다.
상기 용기의 바닥벽의 하면과 액튜에이터의 사이에는 이들에 각각 단부가 부착되고 구동막대(34)의 하부를 수용하도록 하여 처리용기(24)내의 기밀상태를 유지하기 위해 신축 가능한 벨로우즈(38)가 설치되어 있다.
상기 재치대(30)의 둘레틀부 윗쪽에는 웨이퍼(W)의 고정수단, 즉 웨이퍼(W)의 둘레틀부를 위로부터 밀어서 이를 재치대(30)상에 고정하기 위한 링상의 피처리체누름부재로서의 세라믹제의 크램프링(42)이 재치대(30)와 동심적으로 설치되어 있다. 이 크램프링(42)은 상기 지지부재(28)의 수평아암부를 각각 완만하게 관통하여 수직방향으로 연장되는 3개의 링아암(44)의 상단에 고정되어 있다. 이들 링아암(44)은 바람직하게는 석영과 같은 열선투과재로 형성되어 있다. 이들 링아암(44)의 하단은 상기 리프터핀(32)에 연결되어 있다. 이 결과 크램프링(42)은 링아암(44)을 통하여 리프터핀(32)의 상하방향의 이동에 의해 상하방향으로 이동된다. 지지부재(28)의 수평아암부의 하면과 리프터핀(32)의 수평아암부의 상면의 사이에는 복수의 압축코일스프링(46)이 끼워 설치되어 있으며 크램프링(42)을 아래방향으로 힘을 가하고, 또한 웨이퍼(W)의 크램프를 확실하게 하고 있다. 이들 스프링은 바람직하게는 도시한 바와 같이 링아암(44)의 하부가 삽입 되도록 하여 설치되어 있다. 이들 리프터핀(32) 및 지지부재(28)도 바람직하게는 석영 등의 열선투과재에 의해 형성되어 있다.
상기 재치대(30)의 바로 아래의 용기(24) 바닥벽 부분에는 재치대와 동심적인 원형개구가 형성되어 있다. 이 원형 개구에는 석영 등의 열선투과재료로 이루어지는 투과창(48)이 기밀하게 설치되어 있으며, 이 창의 아래쪽에는 투과창(48)을 둘러싸도록 하여 상자모양의 가열하우징(50)이 용기 바닥벽의 하면에 부착되어 있다. 이 가열하우징(50)내에는 가열수단으로서 예를 들면 할로겐램프로 이루어지는 복수의 가열램프(52)가 반사경도 겸하는 회전대(54)의 상면에 부착되어 있다. 이 회전대(54)는 회전축을 통하여 가열하우징(50)의 바닥부에 설치한 회전모터(56)에 의해 제 1 도중에서 화살표시로 나타내는 바와 같이 수직 축을 중심으로 하여 바람직하게는 재치대와 동심적으로 회전된다. 이들 가열램프(52)로부터 방출된 열선은 투과창(48)을 투과하여 재치대(30)의 하면을 조사하고 이를 가열하며 이들로부터의 열전도에 의하여 웨이퍼(W)를 소정의 온도로 가열할 수 있다.
이 가열하우징(50)의 서로 대향하는 측벽에는 이 하우징 (50)내나 투과창(48)을 냉각하기 위한 냉각에어를 도입하는 냉각에어도입구(58) 및 이 에어를 배출하는 냉각에어배출구(60)가 각각 설치되어 있다.
상기 용기(24)의 바닥벽에는 이를 관통하여 재치대(30)의 아래쪽에서 지지원통(26)에 둘러싸여진 가스(70)내를 향하도록 적어도 1개의 가스노즐(71)이 설치되어 있다. 이 노즐로부터 비활성가스(N2, Ar 등), 예를 들면 N2를 저장하는 N2가스원(72)으로부터 유량제어된 N2가스를 백사이드가스로서 가스실(70)내에 흘림으로써 이 방(70)내에 처리가스가 침입하여 열선에 대하여 불투명화의 원인이 되는 성막이 투과창(48)의 내면 등에 부착하는 것을 방지하고 있다.
상기 재치대(30)의 외주의 용기(24) 내주면 근처에는 다수의 정류구멍(62)을 갖는 링상의 정류판(64)이 재치대와 동심적이 되도록 수평으로 위치되어 있다. 이 정류판은 원통상의 지지컬럼(66)의 상부외주면과 용기(24)의 둘레벽의 내주면의 사이에서 지지시키고 있다. 이 지지컬럼의 하단은 용기(24)의 바닥벽에 고정되어 있다. 지지컬럼(66)의 상부단내주측에는 전체둘레에 걸쳐서 단부가 형성되어 있다. 이 단부에는 외주부가 부착되어 링상의 석영제 어태치먼트부재(68)가 지지컬럼(66)에 동심적으로 지지되어 있다. 이 어태치먼트부재(68)는 재치대(30)로부터 아래쪽측의 실내에 처리가스가 가능한 한 흘러 들지 않도록 처리용기(24)내를 상하의 방으로 구획하고 있다. 지지컬럼(66)의 상부속에는 수냉쟈켓(80)이 설치되고, 이 속에서 냉수를 순환시킴으로써 정류판(64)축을 주로 냉각하게 되어 있다. 정류판(64)의 아래쪽의 용기 바닥벽에는 서로 둘레방향으로 소정 거리 이간한 복수의 배기구(74)가 설치되어 있다. 이들 배기구(74)에는 도시하지 않는 진공펌프에 접속된 배기로(76)가 각각 접속되어 있으며 처리용기(24)내를 진공당김하여 소정의 진공도(예를 들면 100Torr∼106Torr)로 유지 할 수 있다.
상기 지지컬럼(66)에는 예를 들면 0.1kg/㎠ 정도의 차압으로 작동하는 압력벗어남밸브(78)가 설치되어 있다. 이 밸브는 재치대(30)의 아래쪽의 가스실(70)내가 과도하게 양압상태가 되었을 때에 개방하여 가스를 지지컬럼과 용기내주면의 사이에서 규정된 공간을 통하여 배기구로부터 벗어나서 방(70)내가 백사이드가스에 의해 과도한 양압이 되는 것을 방지하고 있다.
상기 재치대(30)와 대향하는 용기(24)의 윗벽부분에는 처리가스나 클리닝 가스 등의 필요가스를 윗방, 즉 반응실(82)내에 도입하기 위한 가스공급부(84)가 설치되어 있다. 이 공급부는, 이 가스공급부(샤워 헤드)(84)는 샤워헤드구조로 이루어져 있으며 알루미늄 등에 의해 원형상자모양으로 성형되고 용기 윗벽에 이를 관통하여 부착된 헤드본체(86)를 갖고 있다. 이 헤드본체의 처리용기 밖의 윗벽 중앙에는 가스도입구(88)가 설치되어 있다.
이 가스도입구(88)에는 가스통로(87) 및 복수의 분기로를 통하여 각각 N2, H2, WF6등의 가스원(89))(90)(92) 및 CIF3의 클리닝가스원(94)에 접속되어 있으며, 또 각 분기로에는 각각 유량제어밸브(96) 및 개폐밸브(98)가 끼워 설치되어 있다.
헤드본체(86)의 아래벽인 재치대와 소정 간격을 갖고 평행하게 대향하는 수평벽에는 헤드본체(86)내에 공급된 가스를 방출하기 위한 다수의 가스구멍(100)이 면내에 균일하게 배치되어 있으며 웨이퍼표면에 걸쳐서 균등하게 가스를 방출 가능하다. 헤드본체(86)내에는 다수의 가스분산구멍(102)을 갖는 2 장의 확산판(104)이 상하 2단으로 설치되어 있으며 웨이퍼면에 보다 균등하게 가스를 공급하는 역할을 하고 있다.
여기에서 제 2 도 내지 제 5 도도 참조하여 상기 크램프링(42)과 어태치먼트부재(68)의 구조를 보다 구체적으로 설명한다.
크램프링(42)은 제 4 도에도 나타내는 바와 같이 원판의 중앙에 웨이퍼의 외주와 대략 상이형의 개구가 형성된 대략 링상으로 성형되어 있으며, 그 내측틀부는 웨이퍼(W)의 둘레틀부로부터 전체둘레에 걸쳐서 내측으로 약간 폭(0.5mm∼1.5mm)만큼 겹치도록 전체의 폭이 설정되어 있다. 바람직하게는 도시한 바와 같이 크램프링(42)의 중앙 개구의 둘레틀은 완전히 원은 아니고 웨이퍼의 올리플라를 고려하여 일부에 직선부를 갖는다. 즉 이 중앙 개구는 바람직하게는 웨이퍼의 외형과 상이형을 하고 있다. 상기 크램프링(42)의 하면과 내주단면부의 교차틀은 전체둘레에 걸쳐서 절개되고 중심부측을 향하여 위를 향해 경사된 테이퍼면(106)이 형성되어 있다. 이 테이퍼면(106)을 웨이퍼둘레틀부의 상단 모서리부에 전체둘레에 걸쳐서 밀고 있다. 따라서 테이퍼면(106)과 웨이퍼(W)의 둘레틀부 상단 모서리부는 면접촉은 아니고 전체둘레에 걸쳐서 선접촉으로 접촉하게 되는 것에서 양자의 접촉성 또는 기밀성이 높아져서 웨이퍼 윗쪽의 처리가스가 이 접촉부를 통하여 아래쪽으로 침입해오는 것을 방지하게 되어 있다. 이 경우 양자의 기밀성을 양호하게 하면서 또한 크램프링(42)의 웨이퍼에 대한 누름기능을 발휘시키기 위해서는 테이퍼면(106)의 수평방향에 대한 경사각(6)을 대략 5도 내지 대략 15도의 범위, 가장 적합하게는 10도 정도로 설정하는 것이 바람직하다. 상기 접촉압, 즉 크램프압은 0.1kg/㎠~0.2kg/㎠의 범위가 바람직하다.
이 크램프링(42)의 재질은 웨이퍼(W)의 둘레틀부와 직접 접촉하는 것에서 재치대(30)와 같은 열선에 대하여 불투명한 재료, 예를 들면 AlN세라믹에 의해 형성하여 재치대(30)와 똑같이 가열되도록 해두고 웨이퍼(W)에 대하여 불필요한 온도경사가 발생하지 않게 한다.
상기한 바와 같이 크램프링과 웨이퍼의 양자의 접촉부의 기밀이 향상되었다고는 해도 어느 정도의 양의 처리가스가 이 접촉부를 통하여 웨이퍼의 아래쪽으로 새어나올 가능성도 생각된다. 그래서 본 실시예에 있어서는 테이퍼면(106)의 접촉부를 새는 처리가스를 웨이퍼 등에 부착하기 전에 확실하게 계외로, 배제하기 위해크램프링(42)의 크램프상태인 때에 이 아래쪽에 어태치먼트부재(68)와의 사이에서 가스퍼지통로(108)를 형성하게 되어 있다.
링상의 어태치먼트부재(68)는 직경방향에서 보아 내주부와, 중앙부와, 상기 지지컬럼(66)에 지지된 외주부에 의해 구성되어 있으며 내주부, 즉 크램프링(42)과 소정 간격을 갖고 대략 서로 겹쳐지는 부분의 상면이 중앙부의 상면보다도 크램프링의 두께+α(L2)만큼 낮아져 있다. 이 크램프링(42)은 제 2 도에 파선으로 나타내는 상승위치(대기위치)와 실선으로 나타내는 하강위치(크램프위치)의 사이에서 상기한 바와 같이 리프터핀(32)에 의해 상하방향으로 이동 가능하다. 이 크램프링(42)은 하강위치에 있어서 어태치먼트부재(68)의 내주부의 낮아진 수평면(110)과 하면의 사이에서 가스퍼지통로(108)를 규정하도록 약간의 거리(L2) 이간한다. 또 이 위치에서 크램프링(42)의 상면은 어태치먼트부재(68)의 중앙부의 상면과 재치대상의 웨이퍼상면에 가능한 한 대략 동일수평레벨이 되도록 설정되어 있으며, 이들 상면, 즉 반응실(82)에 드러내어진 면에서 처리가스의 흐름의 흐트러짐이 발생하지 않도록 설정되어 있다. 어태치먼트부재(68)의 내주단면과 재치대(30)의 외주단면은 이들 사이에 고리상의 가스입구를 규정하도록 거리(L1)만큼 이간하고 있다. 이 거리(L1)는 바람직하게는 0.5∼1.5mm, 가장 적합하게는 대략 1.0mm 정도가 되도록 재치대(30)의 외부직경과 어태치먼트부재(68)의 내부직경이 설정되어 있다. 상기 가스퍼지통로의 폭(L2)은 0.3∼1.0mm, 가장 적합하게는 약 0. 8mm로, 바람직하게 설정되어 있다. 또 어태치먼트부재(68)의 중앙부의 내주단면과 크램프링(42)의 외주단면은 고리상의 가스출구를 규정하도록 조금 이간하고 있다.이 거리는 상기 거리(L1)보다도 길게 설정되어 있다.
이에 따라 어태치먼트부재(68)와 재치대(30)의 사이에 설정되어 하단이 개구한 가스입구와 어태치먼트부재(68)의 중앙부와 크램프링(42)의 사이에서 규정된 가스출구를 구비한 가스퍼지통로(108)가 크램프링(42)과 어태치먼트부재(68)의 사이에 형성되어 있다. 이 약간의 폭의 가스퍼지통로(108)에는 크램프링(42)의 전체둘레를 따라서 링상으로 형성되게 되고, 이에 따라 재치대(30)의 아래쪽의 가스(70)에 공급된 백사이드가스가 상기 가스퍼지통로(108)를 통하여 윗쪽의 반응실(82)내에 흘러 들 때에 상기 테이퍼면(106)의 접촉부를 새어서 아래쪽으로 유입하는 처리가스를 퍼지한다.
상기 어태치먼트부재(68)의 내주부에는 제 3 도엔 나타내는 바와 같이 크램프링(42)의 링아암(44)을 지나는 3개의 대투과구멍(112)의 바깥에 복수의 가스빠짐 소투과구멍(114)이 링상으로 배열하여 형성되어 있다. 이 결과 아래쪽의 방(70)내가 일정 이상의 양압상태가 되었을 때에 방(70)내의 가스는 이들 구멍(112)(114) 및 가스퍼지통로(106)를 통하여 처리용기(24)의 상부로 벗어나서 방(70)이 과도한 양압이 되는 것을 방지한다.
상기 어태치먼트부재(68)의 재질은 상기한 바와 같이 이 윗쪽의 크램프링(42)을 적극적으로 가열할 필요가 있는 것에서 열선에 대해서는 투명 한 재료, 예를 들면 석영에 의해 형성하는 것이 바람직하다.
제 1 도에 있어서 부호 "118"은 게이트밸브(116)를 통하여 접속되는 로드록실을 나타낸다.
다음으로 이상과 같이 구성된 장치예를 기초로 하여 실시되는 성막처리에 대하여 설명한다. 여기에서는 Si와 같은 반도체의 웨이퍼표면에 스퍼터장치로 미리 TiN배리어메탈층을 형성하고 있는 표면에 텅스텐막을 CVD성막하는 경우를 예로 들어서 설명한다.
우선 로드록실(118)내에 수용되어 있는 TiN배리어메탈층부착의 웨이퍼(W)를 도시하지 않는 반송아암에 의해 미리 진공상태로 이루어져 있는 처리용기(24)내에 게이트밸브(116)를 통하여 반입하고 리프터핀(32)을 밀어 올림으로써 웨이퍼(W)를 리프터핀(32)측에 수수한다. 그리고 리프터핀(32)을, 구동막대(34)를 내림으로써 하강시키고 웨이퍼(W)를 재치대(30)상에 재치하는 동시에 다시 구동막대(34)를 내림으로써 웨이퍼(W)의 둘레틀부에 크램프링(42)의 테이퍼면을 맞붙이고, 이 웨이퍼를 고정, 즉 재치대에서 크램프한다.
그리고 처리용기(24)내를 베이스압까지 진공당김한 후 가열실(50)내의 가열램프(52)를 점등하면서 회전시키고 열에너지를 방사한다. 방사된 열선은 투과창(48)을 투과한 후 재치대(30)의 안쪽면을 조사하여 이를 가열한다. 이 재치대(30)는 1mm 정도로 매우 얇은 것에서 신속히 가열되고, 따라서 이 위에 재치되어 있는 웨이퍼(W)를 열전도로 신속히 소정의 온도까지 가열할 수 있다.
웨이퍼 (W)가 프로세스온도, 예를 들면 대략 400℃에 도달했다면 가스원(89)(90)(92)으로부터 각각 캐리어가스로서 N2가스, 처리가스로서 WF6가스, 환원가스로서 H2가스를 처리용기(24)내의 반응실(82)내에 공급한다. H2가스와 WF6가스의 유량은 각각 대략 750SCCM과 대략 80SCCM 정도이다. 캐리어 가스로서는 N2가스에 대신하여 아르곤이나 헬륨도 이용할 수 있다. 공급된 혼합가스는 소정의 화학반응을 일으키고 텅스텐막이 TiN막상에 형성된다. 이 성막처리는 소정의 막두께를 얻기까지 실시된다.
이와 같이 성막처리가 실시되고 있는 사이에는 재치대(30)의 웨이퍼(W)의 주위 및 아래쪽의 방(70)내에 크램프링(42)과 웨이퍼(W)의 사이로부터 처리가스가 침입해 오는 것을 방지하기 위해 여기에서는 N2가스원(72)으로부터 N2가스를 백사이드가스로서 공급하고, 이 방(70)내를 윗쪽의 반응실(82)에 대하여 약간 양압이 되도록 설정 한다. 그러나 양쪽 방(70)(82)간의 압력조정은 비교적 어렵고, 또 WF6등의 처리가스는 약간 간격이 있으면 용이하게 침입하는 경향에 있으며, 예를 들면 크램프링(42)과 웨이퍼둘레틀부의 접촉부의 약간의 간격을 통하여 웨이퍼안쪽면측이나 재치대(30)의 아래쪽의 방(70)내에 침입하려 하는 경향에 있다.
그러나 본 실시예의 장치에 있어서는 크램프링(42)의 하단내주틀에 테이퍼면(106)을 형성하고, 이 테이퍼면(106)을 웨이퍼의 둘레틀부의 상단 각부에 맞붙여서 웨이퍼를 재치대(30)상에 누르게 하고 있다. 선접촉에 의한 누름때문에 종래의 면접촉에 의한 누름이 다르고 양자의 접촉성 또는 기밀성이 높아지며, 그 만큼 처리가스의 아래쪽에 대한 침입을 억제할 수 있다. 이 경우 특히 아래쪽의 방(70)내를 윗쪽의 반응실(82)보다도 어느 정도 이상 양압으로 되도록 압력관계를 조정하면 웨이퍼표면 전체면에 위를 향하는 힘이 가해지는 결과 웨이퍼둘레틀부와아래쪽에 힘이 가해져 있는 크램프링(42)의 테이퍼면(106)의 접촉성 또는 기밀성을 그 만큼 높일 수 있다.
이 기밀성을 높이기 위해 크램프링(42)에 아래를 향하여 강한 힘을 부여하는 코일스프링 (46)의 정수를 높이는 것도 생각되는데, 이 경우에 크램프로드가 접속된, 예를 들면 3군데에 대응한 웨이퍼의 3군데에 집중적으로 힘이 가해지는 결과 크램프링 자체가 변형할 가능성이 있으며, 그 결과 기밀성이 악화되기 때문에 바람직하지 않다. 이와 같이 테이퍼면(106)을 형성하여 접촉부의 기밀성을 높임으로써 처리가스의 침입을 방지할 수 있고, 따라서 재치대(30)의 안쪽면이나 투과창(48)의 내측면에 성막이 부착하는 것을 방지할 수 있는 것은 물론 웨이퍼단면이나 웨이퍼안쪽면의 둘레틀부에 처리가스가 돌아 들어가고 이 부분에 성막이 부착하는 것도 방지 할 수 있다.
그런데 이와 같이 크램프링(42)의 내측단부에 테이퍼면(106)을 형성하여 기밀성을 높이도록 했지만 예를 들면 웨이퍼의 외주단틀의 미세한 요철이나 상기 테이퍼면(106)의 접촉면의 거칠기 등의 상황에 의해 테이퍼면(106)과 웨이퍼단부의 접촉부에 전혀 간격이 없다고는 할 수 없고, 만일 간격이 있으면 처리가스가 이 약간의 간격을 통하여 새어서 아래쪽으로 침입해 올 가능성이 여전히 존재한다.
이에 대하여 본 실시예의 장치에서는 크램프링(42)의 크램프상태에 있어서, 이 하면과 어태치먼트부재(68)의 내주측단부부분(110)의 상면에서 구획하도록 약간의 폭(L2)의 가스퍼지통로(108)가 규정되고 아래쪽에 침입한 처리가스가 주변에 부착하기 전에 다음과 같이 하여 완전히 퍼지된다. 제 2 도 및 제 5 도에 나타내는바와 같이 재치대(30)의 아래쪽의 방(70)내 에 공급된 백사이드가스는 재치대(30)의 외주단면과 어태치먼트부재(68)의 내주단면의 사이에 규정되는 폭(L1)의 입구로부터 화살표시로 나타내는 바와 같이 가스퍼지통로(108)내를 흐르고 크램프링(42)의 외측단면과 어태치먼트부재(68)의 중앙부의 내주단면의 사이에는 규정되는 출구를 통하여 처리가스가 아래쪽으로 침입했다고 해도, 이 침입가스는 입구로부터 가스퍼지통로(108)내로 흐르는 백사이드가스에 수반되어 통로(108)를 통하여 반응실(82)내로 빠진다. 따라서 테이퍼면(106)과 웨이퍼단부의 접촉부의 약간의 간격을 통하여 반응실(82)내에 되밀어진다.
이 결과 상기한 것과 똑같이 재치대(30)의 표면이나 투과창(48)의 내측면에 성막이 부착하는 것을 대략 확실하게 방지 할 수 있을 뿐만 아니라 웨이퍼 단면이나 웨이퍼안쪽면둘레틀부에 처리가스가 돌아 들어가서 여기에 성막이 부착하는 것을 대략 확실하게 방지할 수 있다.
본 실시예의 장치에서는 크램프링(42)은 하강위치에서, 이 상면이 웨이퍼 상면과 대략 동일수평레벨이 되도록 하여 성막가스의 흐트러짐이 발생하지 않도록 하고 웨이퍼성막의 면내균일성을 양호하게 하고 있는데, 본 발명에 있어서는 이 효과가 메인의 효과는 아니기 때문에 양자의 상면을 반드시 대략 동일수평면이 되도록 설정할 필요는 없다. 예를 들면 어태치먼트부재(68)의 내주부의 상면을 중앙부의 상면과 대략 동일수평면으로 하고, 이 내주부 윗쪽에 크램프링(42)을 위치시킴으로써 크램프링(42)의 상면이 웨이퍼(W)의 상면보다도 높게 위치하도록 해도 좋다.
또한 가스퍼지통로(108)의 가스출구는 웨이퍼둘레틀로부터 대략 크램프링 폭에 상당하는 거리만큼 떨어져 있기 때문에 이 토출가스의 영향으로 웨이퍼 성막의 면내균일성에 악영향을 주는 일도 없다.
또 어태치먼트부재(68)의 내주부에 는 제 3 도에도 나타내는 바와 같이 가스빠짐구멍(114)도 설치되어 있기 때문에, 이 가스빠짐구멍(114)을 지난 가스가 가스퍼지통로(108)로부터 배출되어 재치대(30)의 아래쪽의 방(70)내가 큰 양압상태로 되는 일도 없다. 나아가서는 안전을 확보하기 위해 제 1 도에 나타내는 바와 같이 지지컬럼(66)에는 압력벗어남밸브(78)를 설치하고 있기 때문에 방(70)내가 과도하게 양압상태가 되면 이것이 스프링의 가하는 힘에 대항하여 열어서 압력이 벗어나게 되고, 따라서 비교적 얇은 어태치먼트부재(68)나 재치대(30) 등의 내부구조물을 잘못하여 파손 하는 등의 일도 미연에 방지할 수 있다.
이와 같이 구성하여 처리가스의 돌아 들어감을 방지하여 CVD텅스텐막을 형성한 결과 제 6 도에 나타내는 바와 같이 양호한 성막을 실시할 수 있었다. 도시하는 바와 같이 웨이퍼(W)의 둘레단면측 또는 웨이퍼(W)의 안쪽면둘레틀부측에는 텅스텐막(18)이 제 12 도에 나타낸 경우와 달리 돌아 들어가지 않고 TiN의 배리어메탈(16)상만에 텅스텐막(18)이 형성되어 있다. 따라서 텅스텐막(18)의 박리에 동반하는 파티클이 발생하는 일은 없다. 또한 텅스텐막(18)의 웨이퍼중심측의 막두께(H1)와 웨이퍼둘레틀보다 2mm 내측의 막두께(H2)의 비(H2/H1)는 0.95 정도 이상의 수값을 확보할 수 있고 대략 전체에 걸쳐서 막두께의 균일한 성막을 실시 할 수 있다.
이 효과를 검증하기 위해 실시한 측정결과를 제 7 도에 나타낸다.
제 7 도는 종축에 성막된 텅스텐막의 두께를, 그리고 횡축에 웨이퍼의 둘레틀로부터 중심을 향하는 거리를 취하여 거리에 대한 막의 두께를 측정한 결과이다. 이 결과로부터 거리가 2mm 이상인 때에는 두께는 대략 5400Å으로 균일하게 되어 있는 것을 이해할 수 있을 것이다.
제 8 도는 종축에 성막된 텅스텐막의 두께의 균일성을, 그리고 횡축에 웨이퍼의 둘레틀로부터 중심을 향하는 웨이퍼와 크램프링(42)의 겹침부분의 거리를 취하여 겹침부분의 길이에 대한 막의 두께의 균일성을 측정한 결과이다. 이 결과로부터 겹침부분이 짧을 수록 균일성은 우수한 것을 이해할 수 있을 것이다. 오버랩거리가 1.5mm이어도 균일성은 4%이기 때문에 이 이내이면 거의 문제가 없다. 오버랩거리가 0. 3mm 이하에서는 각종 부재의 오차를 고려하면 바람직하지 않다.
제 9 도는 복수의 가스빠짐 소투과구멍(114)이 형성된 제 3도에 나타내는 어태치먼트부재(68)를 사용한 경우의, 그리고 제 10 도는 가스빠짐 소투과구멍이 형성되어 있지 않은 어태치먼트부재를 사용한 경우의 웨이퍼(W)의 안쪽면을 나타낸다. 이 결과로부터 전자에서는 웨이퍼의 안쪽면에 텅스텐막이 전혀 형성되어 있지 않은 것에 대하여 후자에서는 둘레틀부에 막(F)이 형성되어 있는 것을 이해할 수 있을 것이다. 이 실험에서 백사이드가스의 유량은 1000sccm이며 가스퍼지통로(108)의 간격(L2)은 0.8mm로 했다.
또한 상기 실시예에서는 테이퍼면(106)과 가스퍼지통로(108)의 양쪽을 설치하여 처리가스의 돌아 들어감 방지효과를 최대로 발휘시키는 구조에 대하여 설명했지만, 이들을 별개 각각 단독으로 설치하도록 해도 좋은 것은 물론이다.
본 실시예에서는 재치대(30)의 지지방법으로서 3개의 L자상의 지지부재(28)로 지지시키는 구조로 했지만, 이에 한정되지 않고 예를 들면 지지부재로서 직선상의 3개의 로드를 이용하여, 이를 재치대(30)의 단면에 3방향으로부터 찌르도록 하여 지지하도록 한 구조로 해도 좋고 그 구조는 한정되지 않는다.
상기 실시예에서는 크램프링(42)의 개구를 웨이퍼의 외부직경에 대응하도록 대략 원형으로 했지만, 이 형상은 피처리체의 형상에 맞추어서 적절히 변경될 수 있다.
여기에서는 스퍼터성막된 TiN의 배리어메탈상에 텅스텐CVD성막을 실시하는 경우에 대하여 설명 했지만, 배리어메탈이나 그 위의 금속성막으로서 이 종류에 한정되지 않고 예를 들면 배리어메탈로서 Ti, W, Mo 등의 실리사이드 또는 W, Mo 등의 질화물도 이용할 수 있으며 금속성막으로서 예를 들면 알루미늄성막을 실시하는 경우에도 적용할 수 있다.
이와 같은 배리어메탈을 통한 성막만이 아니라 성막 이외의 처리의 경우에도 본 발명의 장치는 사용될 수 있다.
상기 실시예의 장치에서는 웨이퍼와 같은 피처리체를 지지하기 위해 이 웨이퍼가 재치되는 재치대를 사용했지만, 다른 형태의 지지수단이어도 좋다. 또 이 지지수단은 피처리체를 수평으로 지지하는 것에 한정되는 일은 없고 수직으로 지지하는 것이어도 반응실과 가스실의 배치를 변경하면 가능하다.
이상 설명한 바와 같이 본 발명의 열처리장치에 따르면 다음과 갈이 우수한 작용효과를 발휘 할 수 있다.
링상의 피처리체누름부재를 피처리체에 선접촉시키고, 바람직하게는 누름부재의 내주단면에 전체둘레에 걸쳐서 테이퍼면을 형성하고, 이 테이퍼면에서 피처리체의 틀부를 누르도록 했기 때문에 기밀성을 높일 수 있고, 따라서 막두께의 면내균일성을 악화시키는 일 없이 처리가스가 피처리체의 단면이나 안쪽면측에 돌아 들어가는 것을 방지 할 수 있고, 이 부분에 성막이 부착하는 것을 방지할 수 있다.
따라서 배리어메탈상에 성막을 형성하는 경우 등과 같이 피처리체의 단면이나 안쪽면측에 대한 불필요한 성막이 부착하는 것을 방지하고 싶은 경우에는 특히 유효하며 불필요한 성막의 벗겨짐에 의한 파티클의 발생 등을 미연에 방지할 수 있다.
또 피처리체누름부재의 아래쪽에 가스퍼지통로를 설치하고, 이 누름부재와 피처리체의 접촉부의 간격을 새어 나오는 처리가스를 배제하도록 했기 때문에 이 경우에도 상기한 테이퍼면의 효과와 똑같은 효과를 발휘할 수 있다.
특히 테이퍼면과 가스퍼지통로의 양쪽을 채용한 경우에는 상기한 효과를 한층 확실한 것으로 할 수 있다.
또한 어태치먼트부재에 가스퍼지통로에 통하는 가스빠짐구멍을 설치하거나 압력벗어남밸브를 설치함으로써 재치대의 아래쪽의 실내가 과도한 양압상태가 되는 것을 방지할 수 있고, 따라서 내부구조물의 파괴를 미연에 방지할 수 있다.
제 1 도는 본 발명에 관련되는 열처리장치의 한 예를 나타내는 단면도.
제 2 도는 제 1 도에 나타내는 재치대의 둘레틀부를 나타내는 확대단면도.
제 3 도는 제 1 도에 나타내는 장치에 이용하는 어태치먼트부재를 나타내는 평면도.
제 4 도는 제 1 도에 나타내는 장치에 이용하는 피처리체누름부재를 나타내는 평면도.
제 5 도는 가스퍼지통로에 백사이드가스가 흐르는 상태를 나타내는 도면.
제 6 도는 본 발명의 장치를 이용하여 실시했을 때의 성막상태를 설명하기 위한 부분확대도.
제 7 도는 웨이퍼의 둘레틀부로부터 중심을 향하는 거리에 대하여 형성된 막의 두께를 측정한 결과를 나타내는 선도.
제 8 도는 웨이퍼의 둘레틀부로부터 중심을 향하는 웨이퍼와 크램프링의 겹침부분의 길이에 대하여 형성된 막의 두께의 균일성을 측정한 결과를 나타내는 선도.
제 9 도 및 제 10 도는 성막후의 웨이퍼의 안쪽면을 나타내는 평면도이고,
제 9 도는 가스빠짐 소투과구멍이 형성된 어태치먼트부재를 사용하여 성막한경우,
제 10 도는 가스빠짐 소투과구멍이 형성되어 있지 않은 어태치먼트부재를 사용하여 성막한 경우,
제 11 도는 종래의 램프가열방식의 성막장치를 나타내는 단면도.
제 12 도는 제 11 도에 나타내는 장치를 이용하여 성막을 실시했을 때의 성막상태를 나타내는 도면이다.
※ 도면의 주요부분에 대한 부호의 설명
2: 열처리장치 4, 24: 처리용기
8, 30: 재치대 10, 48: 투과창
14: 샤워헤드 32: 리프터핀
34: 구동막대 40: 액튜에이터
42: 크램프링 44: 링아암
50: 가열하우징 64: 정류판
66: 지지컬럼 68: 어태치먼트부재
70: 가스실 86: 헤드본체
89, 90, 92: 가스원 106: 테이퍼면
108: 가스퍼지통로

Claims (14)

  1. 처리가스가 공급되는 반응실과 백사이드가스가 공급되는 가스실을 안에 갖는 처리용기와,
    이 처리용기내에 배치되어 피처리체를 이 일면이 반응실에 노출되도록 지지하는 수단과,
    반응실내에 설치되어 상기 피처리체와 맞붙는 크램프위치와 피처리체와 떨어진 대기위치의 사이에서 이동 가능하며 피처리체의 일면이 노출 가능한 개구를 규정하는 내주단면을 갖는 링상의 누름부재, 이 내주단면은 크램프위치에서 피처리체와 전체둘레에 걸쳐서 선접촉으로 맞붙고 반응실로 부터 처리가스가 내주단면과 피처리체의 사이로부터 새는 것을 방지하고 테이퍼면이 형성되어 있는 시일수단을 갖는 것과,
    상기 누름부재를 크램프위치와 대기위치의 사이에 선택적으로 이동시키는 구동수단과,
    상기 반응실에 처리가스를 공급하는 수단과,
    상기 가스실에 백사이드가스를 공급하는 수단과,
    상기 피처리체를 가열하는 수단을 구비하는 것을 특징으로 하는 열처리장치.
  2. 제 1 항에 있어서,
    상기 백사이드가스를 공급하는 수단은 반응실내보다도 높은 압력이 되도록백사이드가스를 가스실에 공급하는 적어도 1개의 노즐을 갖는 것을 특징으로 하는 열처리장치.
  3. 제 2 항에 있어서,
    상기 가스실이 소정의 압력 이상이 되었을 때에 가스실내의 백사이드가스를 외부로 벗어나게 하는 수단을 구비하는 것을 특징으로 하는 열처리장치.
  4. 제 1 항 내지 제 3 항중 어느 한 항에 있어서,
    상기 구동수단은 피처리체를 상기 지지수단에 재치 및 분리하는 수단과,
    이 분리수단을 상기 누름부재와 동시에 이동시키는 수단을 갖는 것을 특징으로 하는 열처리장치.
  5. 제 1 항에 있어서,
    상기 지지수단은 상기 가스실에 설치되어 위에 피처리체가 재치되는 재치대와, 이 재치대를 처리용기에 고정하는 수단을 갖는 것을 특징으로 하는 열처리장치.
  6. 제 5 항에 있어서,
    상기 백사이드가스를 재치대의 외주를 통하여 반응실로 벗어나게 하는 가스퍼지수단을 갖는 것을 특징으로 하는 열처리장치.
  7. 제 1 항에 있어서,
    상기 테이퍼면은 5~15도의 테이퍼각도를 갖는 것을 특징으로 하는 열처리장치.
  8. 제 1 항에 있어서,
    상기 누름부재의 내주단면으로부터 0.3~1.5 mm의 거리피처리체의 상기 일면의 외주부와 오버랩하고 있는 것을 특징으로 하는 열처리장치.
  9. 처리가스가 공급되는 반응실과 백사이드가스가 공급되는 가스실을 안에 갖는 처리용기와,
    상기 반응실과 가스실의 사이에 설치되어 이들 방 사이를 구획하는 수단과,
    반응실내에 설치되어 상기 피처리체와 맞붙는 크램프위치와 피처리체와 떨어진 대기위치의 사이에서 이동 가능하며 피처리체의 일면이 노출 가능한 개구를 규정하는 내주단면을 갖고 크램프위치에서 피처리체와 전체둘레에 걸쳐서 선접촉으로 맞닿고, 반응실로부터 처리가스가 내주단면과 피처리체의 사이에서 새는 것을 방지하는 테이퍼면을 내주단면에 갖는 링상의 누름부재와,
    상기 처리용기내에 배치되어 피처리체를 이 일면이 반응실에 노출되도록 지지하는 수단과,
    상기 반응실에 처리가스를 공급하는 수단과,
    상기 가스실에 백사이드가스를 상기 반응실내보다도 높은 압력이 되도록 공급하는 수단과,
    상기 구획하는 수단은 상기 누름부재가 크램프위치에 있을 때에 가스실에 통하는 입구와 반응실에 통하는 출구를 갖고, 피처리체와 누름부재의 맞붙음부를 지나는 가스퍼지통로를 누름부재와의 사이에서 규정하는 부재를 갖고, 이 결과 맞붙음부로부터 샌 처리가스를 캐리하여 반응실에 되돌리도록 백사이드가스가 입구로부터 가스퍼지통로를 통하여 출구로 흘러가는 것과,
    상기 피처리체를 가열하는 수단을 구비하는 것을 특징으로 하는 열처리장치.
  10. 제 9 항에 있어서,
    상기 누름부재와 규정부재는 서로 소정 간격을 갖고 대면하여 상기 가스퍼지통로를 규정하는 평탄한 일면을 갖고, 상기 규정부재는 상기 일면을 갖는 내주부를 갖는 링상의 어태치먼트부재를 갖는 것을 특징으로 하는 열처리장치.
  11. 제 9 항 또는 제 10 항에 있어서,
    상기 가스퍼지통로는 0.3~1.0mm의 폭을 갖고, 또 입구는 0.5~1.5mm의 폭을 갖는 것을 특징으로 하는 열처리장치.
  12. 제 10 항에 있어서,
    상기 어태치먼트부재의 내주부는 가스실로부터 가스퍼지통로실에 연이어 통하고, 이들을 통하여 가스실내의 백사이드가스가 가스퍼지통로에 흐르는 복수의 가스빠짐투과구멍을 갖는 것을 특징으로 하는 열처리장치.
  13. 제 9 항에 있어서,
    상기 누름부재는 이것이 크램프위치에 있을 때에 피처리체의 상기 일면과 대략 같은 면에 위치하는 다른 면을 갖는 것을 특징으로 하는 열처리장치.
  14. 처리가스가 공급되는 반응실과 백사이드가스가 공급되는 가스실을 안에 갖는 처리용기와,
    상기 처리용기내에 배치되어 웨이퍼가 이 일면이 반응실에 노출되도록 재치되는 재치대와,
    반응실내에 설치되어 상기 웨이퍼와 맞붙는 크램프위치와 웨이퍼와 떨어진 대기위치의 사이에서 이동되어 웨이퍼의 일면이 노출 가능한 개구를 규정하는 내주단면(이 내주단면은 웨이퍼의 외주단면과 상이형을 이루고, 또한 외주단면보다도 작은)을 갖는 링상의 누름부재, 상기 내주단면에는 크램프위치에서 웨이퍼와 전체둘레에 걸쳐서 선접촉으로 맞붙고 반응실로 부터 처리가스가 내주단면과 웨이퍼의 사이로부터 새는 것을 방지하는 테이퍼면이 형성되어 있는 시일수단을 갖는 것과,
    상기 반응실에 상기 웨이퍼의 일면에 층을 형성하기 위한 원료가스를 포함하는 처리가스를 공급하는 수단과,
    상기 가스실에 백사이드가스를 공급하는 수단과,
    상기 누름부재가 크램프위치에 있을 때에 가스실에 통하는 입구를 갖고 웨이퍼와 누름부재의 맞붙음부를 지나는 가스퍼지통로를 누름부재와의 사이에서 규정하는 부재와,
    상기 웨이퍼를 가열하여 상기 처리가스를 반응실내에서 반응시켜서 웨이퍼의 상기 일면에 처리가스에 의한 막을 형성시키는 수단을 구비하는 것을 특징으로 하는 웨이퍼의 열처리장치.
KR1019960045984A 1995-10-18 1996-10-15 열처리 장치 KR100335970B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP29487295A JP3477953B2 (ja) 1995-10-18 1995-10-18 熱処理装置
JP95-294872 1995-10-18

Publications (2)

Publication Number Publication Date
KR970023859A KR970023859A (ko) 1997-05-30
KR100335970B1 true KR100335970B1 (ko) 2002-09-27

Family

ID=17813347

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960045984A KR100335970B1 (ko) 1995-10-18 1996-10-15 열처리 장치

Country Status (4)

Country Link
US (1) US5997651A (ko)
JP (1) JP3477953B2 (ko)
KR (1) KR100335970B1 (ko)
TW (1) TW366517B (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5930661A (en) * 1996-10-15 1999-07-27 Vanguard International Semiconductor Corporation Substrate clamp design for minimizing substrate to clamp sticking during thermal processing of thermally flowable layers
JPH1126432A (ja) * 1997-06-30 1999-01-29 Matsushita Electric Ind Co Ltd ガス伝熱形プラズマ処理装置
JP4317608B2 (ja) * 1999-01-18 2009-08-19 東京エレクトロン株式会社 成膜装置
US20030205192A1 (en) * 1999-01-19 2003-11-06 Tokyo Electron Limited Film forming method
TW457616B (en) * 1999-01-19 2001-10-01 Tokyo Electron Ltd Film-forming apparatus
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
GB2350374B (en) * 1999-05-11 2003-09-24 Trikon Holdings Ltd Deposition apparatus
JP4542641B2 (ja) * 1999-05-24 2010-09-15 株式会社アルバック 半導体製造装置及びこの装置を利用したバリアメタル膜の形成方法
JP2001053030A (ja) * 1999-08-11 2001-02-23 Tokyo Electron Ltd 成膜装置
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP3711226B2 (ja) * 2000-02-23 2005-11-02 大日本印刷株式会社 真空乾燥装置および真空乾燥方法
JP4409714B2 (ja) * 2000-04-07 2010-02-03 東京エレクトロン株式会社 枚葉式熱処理装置
US6440219B1 (en) 2000-06-07 2002-08-27 Simplus Systems Corporation Replaceable shielding apparatus
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6689221B2 (en) * 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
JP4583591B2 (ja) * 2000-12-15 2010-11-17 東京エレクトロン株式会社 処理方法及び処理装置
KR100881786B1 (ko) * 2000-12-27 2009-02-03 도쿄엘렉트론가부시키가이샤 처리 장치
JP4663110B2 (ja) * 2000-12-27 2011-03-30 東京エレクトロン株式会社 処理装置
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
US7390366B2 (en) * 2001-11-05 2008-06-24 Jusung Engineering Co., Ltd. Apparatus for chemical vapor deposition
US20030117018A1 (en) * 2001-12-21 2003-06-26 Young James M. Current mirror seatbelt interface circuit
WO2004038777A1 (ja) * 2002-10-24 2004-05-06 Tokyo Electron Limited 熱処理装置
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
US7434712B2 (en) * 2004-07-09 2008-10-14 Blackhawk Industries Product Group Unlimited Llc Hooded holster
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
KR100601988B1 (ko) 2005-02-05 2006-07-18 삼성전자주식회사 웨이퍼 가열 장비
US7978583B2 (en) * 2005-05-24 2011-07-12 Cinram International Inc. Apparatus and method for forming reflective layer of optical disc
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5443096B2 (ja) * 2009-08-12 2014-03-19 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP5164950B2 (ja) * 2009-09-24 2013-03-21 キヤノンアネルバ株式会社 Cvd装置
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
JP6106162B2 (ja) * 2011-05-31 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 縁部、側部及び裏面の保護を備えたドライエッチングのための装置及び方法
KR101248881B1 (ko) * 2011-09-26 2013-04-01 주식회사 유진테크 기판지지유닛 및 기판처리장치, 그리고 기판지지유닛을 제조하는 방법
US10069443B2 (en) * 2011-12-20 2018-09-04 Tokyo Electron Limited Dechuck control method and plasma processing apparatus
US10361097B2 (en) 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
JP5602903B2 (ja) * 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
GB201419210D0 (en) * 2014-10-29 2014-12-10 Spts Technologies Ltd Clamp assembly
JP5990626B1 (ja) 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6050860B1 (ja) 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) * 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
US11898245B2 (en) * 2021-02-26 2024-02-13 Applied Materials, Inc. High throughput and metal contamination control oven for chamber component cleaning process

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61289623A (ja) * 1985-06-18 1986-12-19 Matsushita Electric Ind Co Ltd 気相反応装置
JPH04124820A (ja) * 1990-09-17 1992-04-24 Oki Electric Ind Co Ltd 半導体装置の製造方法および装置
JP3186257B2 (ja) * 1992-09-30 2001-07-11 ソニー株式会社 成膜装置
JPH0799162A (ja) * 1993-06-21 1995-04-11 Hitachi Ltd Cvdリアクタ装置
KR100274754B1 (ko) * 1993-08-18 2000-12-15 히가시 데쓰로 성막장치 및 성막방법
US5635244A (en) * 1995-08-28 1997-06-03 Lsi Logic Corporation Method of forming a layer of material on a wafer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate

Also Published As

Publication number Publication date
KR970023859A (ko) 1997-05-30
US5997651A (en) 1999-12-07
JP3477953B2 (ja) 2003-12-10
TW366517B (en) 1999-08-11
JPH09115993A (ja) 1997-05-02

Similar Documents

Publication Publication Date Title
KR100335970B1 (ko) 열처리 장치
JP2918785B2 (ja) 改良された化学気相蒸着チャンバおよび方法
KR100430947B1 (ko) 가열장치및열처리장치
US7718930B2 (en) Loading table and heat treating apparatus having the loading table
JP2548023B2 (ja) 急速加熱cvd装置
KR101210210B1 (ko) 배치대 구조, 성막 장치 및 성막 방법
US5405444A (en) Process chamber purge module for semiconductor processing equipment
JP4361614B2 (ja) 半導体基板のエッジ成膜の制御
US7250094B2 (en) Heat treatment apparatus
KR100881786B1 (ko) 처리 장치
JPH11204442A (ja) 枚葉式の熱処理装置
KR100574116B1 (ko) 반도체 처리 시스템의 매엽식 처리 장치
JP4238772B2 (ja) 載置台構造及び熱処理装置
JPH06342760A (ja) 差圧cvdチャック
US6733593B1 (en) Film forming device
US20020046810A1 (en) Processing apparatus
JP4260404B2 (ja) 成膜装置
JP2004307939A (ja) 熱処理装置
US7049549B2 (en) Multi-thermal zone shielding apparatus
JPH11204443A (ja) 枚葉式の熱処理装置
US20030205192A1 (en) Film forming method
KR100373435B1 (ko) 화학기상증착챔버
JP2003007643A (ja) 成膜処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120418

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20130404

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee