JPH11220027A - ハードマスクを用いて半導体基板の開口部を形成する方法 - Google Patents

ハードマスクを用いて半導体基板の開口部を形成する方法

Info

Publication number
JPH11220027A
JPH11220027A JP10312637A JP31263798A JPH11220027A JP H11220027 A JPH11220027 A JP H11220027A JP 10312637 A JP10312637 A JP 10312637A JP 31263798 A JP31263798 A JP 31263798A JP H11220027 A JPH11220027 A JP H11220027A
Authority
JP
Japan
Prior art keywords
forming
layer
opening
hard mask
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10312637A
Other languages
English (en)
Inventor
Taeho Kook
クック タエホー
Alvaro Maury
マウリー アルヴァロ
Kurt G Steiner
ジー.ステイナー カート
Young Tangsheng
ヤング タングシェング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JPH11220027A publication Critical patent/JPH11220027A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】 本発明は、半導体基板に開口部を形成するハ
ードマスク使用方法に関し、特に、深サブミクロン技術
が要求するより小さいサイズのコンタクトホールとスル
ーホールを達成し、しかもフォトレジストの不均質露光
に伴う問題を解決する方法を提供する。 【解決手段】 本発明は、半導体誘電層に開口部を形成
する方法であって、該誘電層のエッチング度より遅いエ
ッチング速度を有するハードマスク層上に該誘電層を形
成する段階と、該ハードマスク層を通じてガイド開口部
を作成する段階と、該ガイド開口部内に該ガイド開口部
内の口径を減少させるスペーサを形成する段階と、そし
て該ガイド開口部を通じて該誘電層内に該開口部を形成
する段階とからなることを特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の技術的分野】この発明は、一般的なハードマス
ク製造方法に関する。より詳細には、半導体基板に開口
部を形成するためのハードマスク使用方法に関する。
【0002】
【発明の背景】小型回路製造には、次工程で部品やVL
SI,ULSIといった超LSI回路製造用に相互接続
されるコンタクト開口(contact openings)またはスルー
ホール(via) などの正確に制御された開口部の形成が必
要なことはよく知られている。そのような開口部の特徴
の境界を決定するパターンは、マスクとフォトレジスト
材にパターンを露光する目的で紫外線、電子、X線とい
った放射エネルギーの使用による光露光法で一般的に処
理されることもよく知られている。フォトレジストに露
光されたパターンは、ウェーハが次現像工程を経た時点
で形成される。フォトレジストの露光部分が除去されフ
ォトレジストの非露光部分が残留し、それが覆う基板領
域を保護する。その後フォトレジストが除去された場所
は各種の次処理工程で処理可能となる。
【0003】従来、0.5ミクロン以上の特徴に関係す
る技術では、解像度はそれ程重要でなく、600nmぐら
いのより長い波長が使用できた。したがって、これら従
来の露光法に使用された装置は、これらの設計パラメー
タ用に開発されたものである。
【0004】しかしながら、現在の深サブミクロンの技
術では、最小サイズの画像の一貫印刷に関しそのような
露光法の要因で達成できる解像度が、0.5ミクロン以
下の特徴を持つ深サブミクロン回路においては、さらに
より以上重要になっている。そのため、VLSIまたは
ULSI上のますますより小さい特徴の製造は、ますま
すより高い解像度の露光装置あるいは方法が利用できる
ことにかかっている。この高解像度は幾つかの方法で達
成できるであろう。例えば、照射波長を短くしたり、シ
ステムレンズの口径数を増加させる。フォトレジストの
化学的性質を修正したり、まったく新しいフォトレジス
トを創造したり、またはコントラスト・エンハンスメン
ト層を使用して、より少ない変調転送機能で適切な画像
を作成するフォトレジストのコントラストも増大でき
る。代わりに、光学システムのコヒーレンスを調整する
ことが可能である。
【0005】特徴のサイズが減少するにしたがい、これ
らより小さい特徴のパターン化に関係する漂遊光線問題
のため、フォトレジスト露光の適量制御がより困難にな
る。希望フォトレジスト面積の過露光が発生する場合も
あり、他のケースでは、フォトレジスト面積の露光不足
が生じることもある。いずれのケースでも、クリティカ
ルディメンション(Critical Dimension)線幅増分が
より困難になる。
【0006】その結果、特徴サイズが350nm(0.35μ
m)以下の深サブミクロン集積回路用光露光では、回路
の特徴の境界決定に使用するフォトレジスト材のより短
い波長露光(365nmもしくは248nm)が必要とな
る。ごく最近では、より短い波長に敏感なフォトレジス
トに対して、より正確な露光が可能な、より短い波長使
用の新型ステップ式投影露光装置が利用できるようにな
っている。この新技術で、より小さいサイズのコンタク
トホールとスルーホールが得られる。しかし、この新型
ステッパは高価なため、半導体の製造コスト全体が大幅
に上昇する。
【0007】したがって、新技術関係の追加開始費用な
しに現在の深サブミクロン技術が要求するより小さいサ
イズのコンタクトホールとスルーホールを達成し、しか
もフォトレジストの不均質露光に伴う問題を避けること
が、非常に望ましい。
【0008】
【発明の概要】従来方法の欠点に対処するために、本発
明は、コンタクトホールまたはスルーホールを半導体誘
電層に形成する方法を提供する。有利な実施例では、こ
の方法は、ハードマスク層のエッチング速度が誘導層の
エッチング速度より遅いハードマスク層を誘導層上に形
成する段階、ハードマーク層を通じてガイド開口部を形
成する段階、ガイド開口部内にスペーサを形成し、スペ
ーサがガイド開口部の口径を減少させる段階、そしてガ
イド開口部を通じて誘導層内にコンタクトホールまたは
スルーホールを形成する段階で構成される。一実施例で
は、ハードマスク上とガイド開口部内にアモルファス・
シリコン層を蒸着した後、アモルファス・シリコン層に
エッチングを施し、それによりガイド開口部内にスペ−
サを形成する方法を使う。他の実施例では、コンタクト
ホール内とガイド開口部内、そしてガイド開口部を超え
て伸長するハードマスク層の少なくとも一部分上に導電
材を蒸着し、そしてガイド開口部を超えて伸長するハー
ドマスク層と導電材層の少なくとも一部分を除去する段
階をさらに備える方法もある。ある特定の実施例では、
コンタクトホールを0.25ミクロンまたはそれ以下の
幅に形成している。
【0009】導電材蒸着段階には、チタン層をコンタク
トホール内に蒸着し、チタン層上にチタン窒化物層を蒸
着し、そしてチタン窒化物層上にタングステン金属を蒸
着する段階を備える場合もある。
【0010】もう一つの実施例では、ガイド開口部形成
段階がハードマスク層上に酸化物エッチング遮断層の形
成段階を備え、さらにコンタクトホール形成以前にガイ
ド開口部にシリコンスペーサを形成する段階を備える。
【0011】別の実施例では、除去段階はハードマスク
と導電材の少なくとも一部分とを化学的、機械的に除去
する段階を有している。この特定の実施例の一面では、
導電材を化学的、機械的に除去する段階が研磨剤と酸化
剤で構成されるスラリーにより導電材を除去する段階を
備える。研磨剤は酸化アルミニューム(Al23)、酸
化剤は硝酸鉄もしくは過酸化水素で構成される。
【0012】さらに別の実施例では、ハードマスクを化
学的、機械的に除去する段階は、シリカとベースのスラ
リーによりハードマスクを除去する段階より成る。特に
ある実施例では、ベースのpHが約7から11程度であ
り、この特定の実施例の別の面では、ベースがアンモニ
アまたは過酸化カリユームで構成されるグループから選
択される。
【0013】異なった実施例では、ハードマスクの形成
段階が誘電層上に厚さ100nmのシリコン層を蒸着、形
成する段階を備える。これらの実施例には、ポリシリコ
ンのシリコン層、あるいは、代わりにシリコンがアモル
ファス・シリコンの場合もある。その場合、形成段階と
して580℃以下の温度でアモルファス・シリコンを形
成する段階をさらに備える。
【0014】
【発明の詳細な記述】最初に図1について、この図は一
般的な金属酸化物半導体(Metal Oxide Semiconduct
or:MOS)デバイス100の代表的横断面の略図であ
る。図1が示すように、半導体100は、シリコン、ゲ
ルマニウム、ガリウム砒化物(galliumarsenide)、また
は当業者周知の他の材料から形成された基板110で構
成される。基板110は、一般的にフィールド酸化物領
域112a,112bおよび従来の方法で形成されたド
ープ・ソースとドレイン領域114a,114bより成
る。ゲート酸化物116上に位置するゲート116も、
また、基板110上に形成される。両ゲート116とゲ
ート酸化物116も、また、従来の方法で形成される。
誘電層120はゲート116と基板110上に蒸着され
ている。有利な実施例では、誘電層120を四エチル正
珪酸(Tetraethyl orthosilicate:TEOS)といった
周知の材料を使って従来の方法で蒸着する。他の実施例
では、誘電層120は、リンそして、またはホウ素でド
ープされている。
【0015】誘電層120上に形成されたハードマスク
層(hardmask)130も示されている。有利な実施例で
は、ハードマスク層130は厚さ約100nmのポリシリ
コンで構成され、誘電層12材料のエッチング速度の少
なくとも10分の1以下のエッチング速度を有する。別
の実施例では、ハードマスク層130はアモルファス・
シリコンで構成される。ハードマスク層130に広い範
囲の処理温度を有するアモルファス・シリコンの使用に
より、一般的に580℃以下というより低い温度でハー
ドマススク層130の蒸着が可能である。さらに、有利
な実施例では、ハードマスク層130を約400℃から
500℃の温度でCVD法により誘電層120に蒸着す
る。本発明は蒸着方法をCVD法に限定しない。スパッ
タやプラズマ強化方法など他の蒸着方法も、また、ハー
ドマスク層を誘電層に蒸着する際に使用する。
【0016】有利な実施例では、ハードマスク層130
の蒸着後、酸化物エッチング遮断層140を従来の方法
でハードマスク層130上に蒸着する。エッチング遮断
層140はエッチング過程がそれを通過して侵入するこ
とを防止する障壁として使用される。エッチング遮断層
は、本発明で開示する方法にとって必須のもではないこ
とをここで指摘する。フォトレジスト150は一般的に
薄被膜としてエッチング遮断層140に適用し、その後
マスク160を通じて従来の露光法で露出させる。マス
ク160は、一般的にフォトレジスト150に形成され
たコンタクトホール(contact opening) またはスルーホ
ール(via opening) といった開口部の境界を決める透明
かつ不透明な特徴を有する。
【0017】従来の露光法では、コンタクトホールまた
はスルーホール・パターンはフォトレジストをマスクと
して使用するプラズマ・エッチングで形成するが、フォ
トレジストマスクはプラズマエッチング中に側面が削ら
れ、その結果、パターンのサイズが元の寸法より増大し
たものになる。したがって、小寸法のパターン作成がよ
り困難になる。さらに、深サブミクロン光露光法に使用
される深紫外線(UV)フォトレジストは、プラズマエ
ッチングに対して抵抗力がより少ないため、クリティカ
ルディメンションではさらにより多量のロスが生じる。
【0018】ハードマスク層130の材料はフォトレジ
スト150の材料よりエッチング種に対して抵抗力が強
くウインドウのエッチングガイドとして作用する。ハー
ドマスク層130の使用により、マスク160でパター
ン作成中の特徴の寸法をより高い精度で制御できる。ハ
ードマスクを制御層として使用すれば、既存のステップ
式投影露光装置の使用が可能になり、より短い波長を使
用するより新型のより高価なステップ式投影露光装置の
費用を避けられることになる。フォトレジストが紫外線
などの放射エネルギー(通常170と指定)で露光・現
像されると、図2に示すエッチングされた被膜パターン
の半導体が製造される。
【0019】さて、図2について説明する。図1の半導
体をエッチングした被膜パターンと共に示している。有
利な実施例では、コンタクトホールまたはスルーホール
を当業者周知の従来の方法でフォトレジスト150に移
転させる。露光されたエッチング遮断層140とハード
マスク層130は、当業者周知の従来の方法でパターン
され、ハードマスク層130を通じて、複数のコンタク
トホールまたはスルーホールと対応する複数のガイド開
口部210を形成する。それから、残存フォトレジスト
150を従来の方法で除去して残存ハードマスク層13
0を露呈する。
【0020】次に図3Aと3Bについて説明する。図3
Aは、図1の半導体を残存ハードマスクとエッチング遮
断層130、140上に蒸着したシリコン被膜310と
共に示している。図3Bは、図1の半導体をシリコン被
膜310から形成されたシリコンスペーサと共に示して
いる。シリコン被膜310は当業者周知の従来の方法で
蒸着される。有利な実施例では、ブランケットとコンフ
ォーマル・アモルファスシリコン被膜310を残存エッ
チング遮断層130上およびガイド開口部210内に蒸
着する。その後アモルファスシリコン材を異方性(方向
性)反応性イオン・エッチング(RIE)で処理し、ガ
イド開口部210ハードマスク・パターン内にアモルフ
ァス・シリコンスペーサ320を形成する。その結果、
ガイド開口部210はアモルファスシリコンの2倍の厚
さ程度減少する。アモルファスシリコン蒸着は、概して
等方性に欠けるため、側壁(垂直面)の厚さは、平ら
な、つまり、水平面で計測して、一般にアモルファスシ
リコンの厚さの30%から70%になる。
【0021】さて、図4について説明する。図1の半導
体100が誘電層120に形成されたコンタクトホール
410と共に示されている。複数のコンタクトホール
(通常410と指定)を、ハードマスク層130のガイ
ド開口部210を通じて従来の方法で形成する。スペー
サ320を使用してコンタクトホール410のサイズを
制御し、従来の露光技術を使用して、例えばコンタクト
ホールまたはスルーホールといった0.25ミクロン以
下の特徴サイズの境界を決定する。コンタクトホール4
10形成後、障壁をコンタクトホール内とハードマスク
層130およびシリコンスペーサ320上に、下記の方
法で蒸着する。
【0022】図5について説明する。図1の半導体10
0を障壁層510と導電材520と共に示す。有利な実
施例では、障壁層510はチタン(Ti)と窒化チタン
(TiN)で構成される。障壁層510は、ハードマス
ク層130、シリコンスペーサ320上に、およびコン
タクトホール410内に、最初にフィジカル気相成長法
といった従来の方法でTi層を蒸着して形成する。Ti
層蒸着に続いて、第一のTi層の上に、また周知の従来
の方法を使用して第二のTiN層を蒸着する。
【0023】障壁層510形成後、導電材520を従来
の方法で障壁層510上に蒸着する。有利な実施例で
は、導電材はタングステンである。導電材520を使っ
てコンタクトホール410を塞ぎ、ソースおよびドレイ
ン領域114a,114bとゲート116から金属相互
接続層(図示せず)までの電気伝導路を設ける。
【0024】金属相互接続層蒸着の準備として、コンタ
クトホール410に存在しない導電材520と障壁層5
10の部分に沿って、シリコンスペーサ320およびハ
ードマスク層130を除去する。有利な実施例では、化
学的、機械的ポリシング(CMP)法を使用している。
CMP法は、導電材520の除去から開始される。一般
にタングステンである導電材520の研磨用スラリー
は、研磨成分と酸化剤成分で構成される。有利な実施例
では、酸化アルミと窒化鉄が、スラリーにそれぞれ研磨
剤および酸化剤として使われている。別の実施例では、
過酸化水素がスラリーに酸化物として使用されている。
CMP法では、導電材520を機械的研磨である物理的
方法およびエッチングである化学的方法を組み合わせて
除去する。スラリーおよびCMPのパッド(図示せず)
を一般的に6から9psiの圧力で導電材520上から
押圧すると、スラリーの酸化剤成分が導電材520を酸
化し、酸化金属の薄い層を形成する。その後酸化金属は
スラリーの研磨剤成分により簡単に除去される。酸化お
よび除去処理はハードマスク130に到達するまで反復
して行われ、到達した時点で新組成スラリーを使用す
る。ハードマスクおよびスペーサ形成に使用されたシリ
コンは硝酸鉄または過酸化水素とは酸化しないため、新
しいスラリーが必要となる。新スラリーはシリカとベー
スで構成される。有利な実施例では、スラリーのpHは
約7から11程度まであり、例えば、ベースはアンモニ
アまたは水酸化カリユームの場合もある。新スラリーに
よりCMP法はハードマスク層130およびシリコンス
ペーサ320が除去されるまで継続される。CMP法終
了時に、金属相互接続層が蒸着される。
【0025】さて、図6について説明する。図6の半導
体100を金属相互接続層610および反反射コーティ
ング(anti-reflective coating) 620と共に示す。一
般的にアルミで構成される金属相互接続層610を、フ
ィジカル気相成長法といった当業者周知の従来の方法を
使用して誘電層120およびコンタクトホール410上
に蒸着する。次に反反射コーティング620を、また、
従来の方法で金属相互接続層610上に蒸着する。反反
射コーティングは、次の露光処理段階でフォトレジスト
材に侵入する可能性のある放射エネルギーをほとんど吸
収する目的に使用する。有利な実施例では、反反射コー
ティング620に使用される材料はTiNである。
【0026】上記で説明したように、本発明が半導体誘
電層にコンタクトホールを形成する方法を提供すること
は明らかである。本発明で開示された方法は、現在の深
サブミクロン技術に必要なもっとも小さいコンタクトホ
ールおよびスルーホールを、より敏感なフォトレジスト
材およびより短い波長使用の新型ステップ式投影露光装
置を必要とせずに、達成する。上記の説明は、当業者が
ここで述べた発明の詳細な説明をより良く理解できるよ
うに、相当幅広く本発明の好ましい実施例および代わり
の特徴の要点を述べたものである。さらに、当業者は、
本発明と同じ目的を果たす他の構造の設計・改造の土台
として、開示された概念および特定の実施例を簡単に使
用できることをかならず認識するであろう。当業者は、
また、これと同等の構造は、もっとも広い形式の本発明
の精神と範囲に反するものでないことをはっきりと理解
するであろう。
【図面の簡単な説明】
【図1】一般的な酸化鉄半導体デバイスを示す代表的な
横断面概略図である。
【図2】図1の半導体をエッチングした被膜パターンと
共に示す図である。
【図3A】図1の半導体を残存ハードマスクとエッチン
グ遮断層上に蒸着したシリコン被膜と共に示す図であ
る。
【図3B】図1の半導体をシリコンスペーサと共に示す
図である。
【図4】図1の半導体をコンタクトホールと共に示す図
である。
【図5】図1の半導体を障壁層および導電材と共に示す
図である。
【図6】図1の半導体を金属相互接続層および反反射型
コーティングと共に示す図である。
【符号の説明】
100 金属酸化物半導体デバイス 110 基板 112 フィールド酸化物 114a ドーピングされたソース領域 114b ドーピングされたドレイン領域 116 ゲート 120 誘電層 130 ハードマスク層 140 酸化物エッチング遮断層 150 フォトレジスト 160 マスク 170 放射エネルギ 210 ガイド開口部 310 シリコン皮膜 320 アモルファスシリコン・スペーサ 410 コンタクトホール 510 障壁層 520 導電材 610 金属相互接続層 620 反反射コーティング層
フロントページの続き (72)発明者 カート ジー.ステイナー アメリカ合衆国 32836 フロリダ,オー ランド,サンドストーン ドライヴ 7603 (72)発明者 タングシェング ヤング アメリカ合衆国 32836 フロリダ,オー ランド,ウィックハム ウェイ 9551

Claims (32)

    【特許請求の範囲】
  1. 【請求項1】 半導体誘電層に開口部を形成する方法に
    おいて、該誘電層のエッチング度より遅いエッチング速
    度を有するハードマスク層上に該誘電層を形成する段階
    と、該ハードマスク層を通じてガイド開口部を作成する
    段階と、該ガイド開口部内に該ガイド開口部内の口径を
    減少させるスペーサを形成する段階と、そして該ガイド
    開口部を通じて該誘電層内に該開口部を形成する段階と
    からなることを特徴とする半導体誘電層に開口部を形成
    する方法。
  2. 【請求項2】 請求項1に記載の方法において、該ガイ
    ド開口部形成段階が該ハードマスク層上に酸化物エッチ
    ング遮断層形成段階とからなることを特徴とする方法。
  3. 【請求項3】 請求項1に記載の方法において、該スペ
    ーサ形成段階が該開口部形成前にシリコンスペーサ形成
    段階とからなることを特徴とする方法。
  4. 【請求項4】 請求項1に記載の方法において、該開口
    部およびガイド開口部内そして該ガイド開口部を超えて
    伸長する該ハードマスクの少なくとも一部分上に導電材
    を蒸着する段階、そして該ガイド開口部を超えて伸長す
    る該ハードマスク部分および該導電材層の少なくとも一
    部分を除去する段階とからさらに成ることを特徴とする
    方法。
  5. 【請求項5】 請求項4に記載の方法において、該導電
    材蒸着段階において、該開口部内にチタン層を蒸着する
    段階、該チタン層上にチタン窒化物層を蒸着する段階、
    そして該チタン窒化物層上にタングステン金属を蒸着す
    る段階とからなることを特徴とする方法。
  6. 【請求項6】 請求項4に記載の方法において、該除去
    段階が少なくとも該ハードマスクの部分および該導電材
    を化学的、機械的に除去する段階からなることを特徴と
    する方法。
  7. 【請求項7】 請求項6に記載の方法において、該導電
    材を化学的、機械的に除去する該段階において、研磨剤
    および酸化剤よりなるスラリーにより該導電材を除去す
    る段階からなることを特徴とする方法。
  8. 【請求項8】 請求項7に記載の方法において、該スラ
    リーによる除去段階において、該研磨剤として酸化アル
    ミニユームAl2 O3 )および該酸化剤として硝酸鉄
    もしくは過酸化水素の使用よりなることを特徴とする方
    法。
  9. 【請求項9】 請求項6に記載の方法において、該ハー
    ドマスクを化学的、機械的に除去する該段階において、
    シリカおよびベースより成るスラリーで該ハードマスク
    を除去する段階からなることを特徴とする方法。
  10. 【請求項10】 請求項9に記載の方法において、該ベ
    ースのpHが約7から11程度であることを特徴とする方
    法。
  11. 【請求項11】 請求項9に記載の方法において、該ベ
    ースがアンモニアまたは水酸化カリュームで構成される
    グループから選択されることを特徴とする方法。
  12. 【請求項12】 請求項1に記載の方法において、該誘
    電層上にシリコン層を形成するハードマスク形成段階よ
    りなることを特徴とする方法。
  13. 【請求項13】 請求項12に記載の方法において、該
    シリコン層がポリシリコンで構成されることを特徴とす
    る方法。
  14. 【請求項14】 請求項12に記載の方法において、該
    シリコンがアモルファスシリコンで構成され、そして該
    形成段階がさらに該アモルファスシリコンを580℃以
    下の温度で形成する段階よりなることを特徴とする方
    法。
  15. 【請求項15】 請求項12に記載の方法において、該
    形成段階において、該シリコンを約100nmの厚さに
    形成する段階よりなることを特徴とする請求項12の方
    法。
  16. 【請求項16】 請求項1に記載の方法において、該開
    口部形成段階において、該開口部を0.25ミクロンま
    たはそれ以下の幅に形成する段階よりなることを特徴と
    する方法。
  17. 【請求項17】 請求項1に記載の方法において、該ス
    ペーサ形成段階において、アモルファスシリコン層を該
    ハードマスク上および該開口部内に形成し、該アモルフ
    ァスシリコン層にエッチング処理をして該スペーサを形
    成する段階よりなることを特徴とする方法。
  18. 【請求項18】 半導体酸化物層内に開口部を形成する
    方法において、該方法は、 該酸化物層のエッチング速度より遅いエッチング速度を
    有するシリコン層を該酸化物層上に形成する段階と、該
    シリコン層を通じてガイド開口部を形成する段階と、該
    ガイド開口部の口径を減少させるスペーサを該ガイド開
    口部内に形成する段階と、該ガイド開口部を通じて該開
    口部を該酸化物層内に形成する段階と、金属層を該開口
    部とガイド開口部内そして該ガイド開口部を超えて伸長
    する該シリコン層の少なくとも一部分上に蒸着する段階
    と、そして該ガイド開口部を超えて伸長する該シリコン
    層および該金属層の少なくとも一部分を除去する段階か
    らなることを特徴とする方法。
  19. 【請求項19】 請求項18に記載の方法において、該
    ガイド開口部形成段階において、該シリコン層上に二酸
    化シリコン・エッチング遮断層の形成段階よりなること
    を特徴とする方法。
  20. 【請求項20】 請求項18に記載の方法において、該
    スペーサ形成段階において、該開口部形成以前にシリコ
    スペーサを形成する段階よりなることを特徴とする方
    法。
  21. 【請求項21】 請求項18に記載の方法において、該
    金属層蒸着段階において、チタン層を該開口部に蒸着す
    る段階と、チタン窒化物層を該チタン層上に蒸着する段
    階と、そしてタングステン層を該チタン窒化物層上に蒸
    着する段階よりなることを特徴とする方法。
  22. 【請求項22】 請求項18に記載の方法において、該
    除去段階において、該シリコン層と該金属層の少なくと
    も一部分を化学的、機械的に除去する段階よりなること
    を特徴とする方法。
  23. 【請求項23】 請求項22に記載の方法において、該
    金属層の少なくとも一部分を化学的、機械的に除去する
    該段階において、該金属層の少なくとも一部分を研磨剤
    と酸化剤で構成されるスラリーで除去する段階よりなる
    ことを特徴とする方法。
  24. 【請求項24】 請求項23に記載の方法において、該
    スラリーによる除去段階において、該研磨剤として酸化
    アルミニユーム(Al23)および該酸化剤として硝酸鉄
    もしくは過酸化水素の使用することを特徴とする方法。
  25. 【請求項25】 請求項23に記載の方法において、該
    シリコン層の少なくとも一部分を化学的、機械的に除去
    する該段階において、該シリコン層の少なくとも一部分
    をシリカとベースで構成されるスラリーで除去する段階
    よりなることを特徴とする方法。
  26. 【請求項26】 請求項25に記載の方法において、該
    ベースのpHが約7から11程度の範囲であることを特
    徴とする方法。
  27. 【請求項27】 請求項25に記載の方法において、該
    ベースがアンモニアまたは水酸化カリユームで構成され
    るグループから選択されることを特徴とする方法。
  28. 【請求項28】 請求項18に記載の方法において、該
    シリコン層形成段階において、ポリシリコンを該二酸化
    珪素上に形成する段階よりなることを特徴とする方法。
  29. 【請求項29】 請求項18に記載の方法において、該
    シリコン層形成段階において、アモルファスシリコンを
    該二酸化珪素上に形成する段階と、および該形成段階に
    おいてさらに該アモルファスシリコンを580℃以下の
    温度で形成する段階よりなることを特徴とする方法。
  30. 【請求項30】 請求項18に記載の方法において、該
    形成段階において、該シリコン層を100nmの厚さに
    形成する段階よりなることを特徴とする方法。
  31. 【請求項31】 請求項18に記載の方法において、該
    開口部形成の該段階において、該開口部を0.25ミク
    ロンまたはそれ以下の幅に作成する段階よりなることを
    特徴とする方法。
  32. 【請求項32】 請求項18に記載の方法において、ス
    ペーサ形成の該段階において、アモルファスシリコン層
    を該ハードマスク上およびガイド開口部内に形成し、該
    スペーサを形成するために該アモルファスシリコン層を
    にエッチング処理する段階よりなることを特徴とする方
    法。
JP10312637A 1997-11-04 1998-11-04 ハードマスクを用いて半導体基板の開口部を形成する方法 Pending JPH11220027A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/963,687 US6008123A (en) 1997-11-04 1997-11-04 Method for using a hardmask to form an opening in a semiconductor substrate
US08/963687 1997-11-04

Publications (1)

Publication Number Publication Date
JPH11220027A true JPH11220027A (ja) 1999-08-10

Family

ID=25507568

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10312637A Pending JPH11220027A (ja) 1997-11-04 1998-11-04 ハードマスクを用いて半導体基板の開口部を形成する方法

Country Status (4)

Country Link
US (1) US6008123A (ja)
JP (1) JPH11220027A (ja)
KR (1) KR100320163B1 (ja)
TW (1) TW388960B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009505163A (ja) * 2005-08-19 2009-02-05 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド テーパ縁を実現するために、memsデバイス内に層を形成するための方法

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6674562B1 (en) * 1994-05-05 2004-01-06 Iridigm Display Corporation Interferometric modulation of radiation
US7550794B2 (en) 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
KR100703140B1 (ko) 1998-04-08 2007-04-05 이리다임 디스플레이 코포레이션 간섭 변조기 및 그 제조 방법
US8928967B2 (en) 1998-04-08 2015-01-06 Qualcomm Mems Technologies, Inc. Method and device for modulating light
US6165855A (en) * 1998-12-04 2000-12-26 Advanced Micro Devices, Inc. Antireflective coating used in the fabrication of microcircuit structures in 0.18 micron and smaller technologies
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
JP2001057412A (ja) * 1999-08-19 2001-02-27 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6610607B1 (en) * 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
DE10053467A1 (de) * 2000-10-27 2002-05-16 Infineon Technologies Ag Verfahren zum Bilden von Kontakten in integrierten Schaltungen
US6639285B1 (en) 2000-11-15 2003-10-28 Agere Systems, Inc. Method for fabricating a semiconductor device
US7396917B2 (en) * 2000-12-05 2008-07-08 Alexion Pharmaceuticals, Inc. Rationally designed antibodies
US20020180052A1 (en) * 2001-06-05 2002-12-05 Nace Layadi Polish or etch stop layer
US6660456B2 (en) 2001-06-27 2003-12-09 International Business Machines Corporation Technique for the size reduction of vias and other images in semiconductor chips
DE10137575A1 (de) * 2001-07-31 2003-02-27 Infineon Technologies Ag Verfahren zur Erzeugung einer Maske sowie Verfahren zur Herstellung einer Halbleitervorrichtung
US8614768B2 (en) 2002-03-18 2013-12-24 Raytheon Company Miniaturized imaging device including GRIN lens optically coupled to SSID
US7591780B2 (en) * 2002-03-18 2009-09-22 Sterling Lc Miniaturized imaging device with integrated circuit connector system
US7787939B2 (en) 2002-03-18 2010-08-31 Sterling Lc Miniaturized imaging device including utility aperture and SSID
US7105442B2 (en) 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
KR100457046B1 (ko) * 2002-08-07 2004-11-10 삼성전자주식회사 반도체 장치의 제조에서 콘택 형성 방법
US7781850B2 (en) 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
US20040077174A1 (en) * 2002-10-18 2004-04-22 Chartered Semiconductor Manufacturing Ltd. Method for forming a high aspect ratio via
US7005374B2 (en) * 2003-12-22 2006-02-28 Nanya Technology Corporation Method for forming contact hole
DE102004001853B3 (de) * 2004-01-13 2005-07-21 Infineon Technologies Ag Verfahren zum Herstellen von Kontaktierungsanschlüssen
EP2246726B1 (en) 2004-07-29 2013-04-03 QUALCOMM MEMS Technologies, Inc. System and method for micro-electromechanical operating of an interferometric modulator
US20060292775A1 (en) * 2005-06-28 2006-12-28 Nanya Technology Corporation Method of manufacturing DRAM capable of avoiding bit line leakage
WO2007013992A1 (en) 2005-07-22 2007-02-01 Qualcomm Incorporated Support structure for mems device and methods therefor
US7795061B2 (en) 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7916980B2 (en) 2006-01-13 2011-03-29 Qualcomm Mems Technologies, Inc. Interconnect structure for MEMS device
US7382515B2 (en) 2006-01-18 2008-06-03 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US20070178684A1 (en) * 2006-01-31 2007-08-02 Torsten Mueller Method for producing conductor arrays on semiconductor devices
US7450295B2 (en) 2006-03-02 2008-11-11 Qualcomm Mems Technologies, Inc. Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US7733552B2 (en) 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
US7835074B2 (en) 2007-06-05 2010-11-16 Sterling Lc Mini-scope for multi-directional imaging
US8288280B2 (en) * 2007-07-19 2012-10-16 Macronix International Co., Ltd. Conductor removal process
US7969659B2 (en) 2008-01-11 2011-06-28 Sterling Lc Grin lens microscope system
US7863079B2 (en) * 2008-02-05 2011-01-04 Qualcomm Mems Technologies, Inc. Methods of reducing CD loss in a microelectromechanical device
US7851239B2 (en) 2008-06-05 2010-12-14 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
JP5596027B2 (ja) 2008-06-18 2014-09-24 レイセオン カンパニー カテーテル
WO2010014792A2 (en) 2008-07-30 2010-02-04 Sterling Lc Method and device for incremental wavelength variation to analyze tissue
DE102008045036B4 (de) * 2008-08-29 2011-06-22 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Verringern kritischer Abmessungen von Kontaktdurchführungen und Kontakten über der Bauteilebene von Halbleiterbauelementen
WO2010053916A2 (en) 2008-11-04 2010-05-14 Sterling Lc Method and device for wavelength shifted imaging
US7864403B2 (en) 2009-03-27 2011-01-04 Qualcomm Mems Technologies, Inc. Post-release adjustment of interferometric modulator reflectivity
WO2011041728A2 (en) 2009-10-01 2011-04-07 Jacobsen Stephen C Needle delivered imaging device
US8717428B2 (en) 2009-10-01 2014-05-06 Raytheon Company Light diffusion apparatus
US9144664B2 (en) 2009-10-01 2015-09-29 Sarcos Lc Method and apparatus for manipulating movement of a micro-catheter
US8828028B2 (en) 2009-11-03 2014-09-09 Raytheon Company Suture device and method for closing a planar opening
DE102010029533B3 (de) * 2010-05-31 2012-02-09 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
US10804370B2 (en) 2017-03-15 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US9984933B1 (en) 2017-10-03 2018-05-29 Globalfoundries Inc. Silicon liner for STI CMP stop in FinFET

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279990A (en) * 1990-03-02 1994-01-18 Motorola, Inc. Method of making a small geometry contact using sidewall spacers
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
KR950000660B1 (ko) * 1992-02-29 1995-01-27 현대전자산업 주식회사 고집적 소자용 미세콘택 형성방법
US5262352A (en) * 1992-08-31 1993-11-16 Motorola, Inc. Method for forming an interconnection structure for conductive layers
KR0136569B1 (ko) * 1992-10-24 1998-04-29 김주용 고집적 반도체 소자의 콘택홀 형성 방법
US5540810A (en) * 1992-12-11 1996-07-30 Micron Technology Inc. IC mechanical planarization process incorporating two slurry compositions for faster material removal times
JP2655469B2 (ja) * 1993-06-30 1997-09-17 日本電気株式会社 半導体集積回路装置の製造方法
US5508218A (en) * 1993-12-28 1996-04-16 Lg Semicon Co., Ltd. Method for fabricating a semiconductor memory
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
JP2720796B2 (ja) * 1994-11-15 1998-03-04 日本電気株式会社 半導体装置の製造方法
JP2882301B2 (ja) * 1995-01-13 1999-04-12 日本電気株式会社 半導体装置の製造方法
US5525552A (en) * 1995-06-08 1996-06-11 Taiwan Semiconductor Manufacturing Company Method for fabricating a MOSFET device with a buried contact
US5719089A (en) * 1996-06-21 1998-02-17 Vanguard International Semiconductor Corporation Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009505163A (ja) * 2005-08-19 2009-02-05 クォルコム・メムズ・テクノロジーズ・インコーポレーテッド テーパ縁を実現するために、memsデバイス内に層を形成するための方法

Also Published As

Publication number Publication date
TW388960B (en) 2000-05-01
KR100320163B1 (ko) 2002-02-19
US6008123A (en) 1999-12-28
KR19990044999A (ko) 1999-06-25

Similar Documents

Publication Publication Date Title
JPH11220027A (ja) ハードマスクを用いて半導体基板の開口部を形成する方法
US7354847B2 (en) Method of trimming technology
US6716570B2 (en) Low temperature resist trimming process
KR100655536B1 (ko) 최소 선폭 손실 및 레지스트 손실을 감소시키기 위한실릴화 방법
US6093508A (en) Dual damascene structure formed in a single photoresist film
US20130175658A1 (en) Tone inversion with partial underlayer etch for semiconductor device formation
JPH08255752A (ja) 反射防止被膜を有する半導体素子およびその製造方法
CN112017946A (zh) 半导体结构的形成方法、晶体管
US5922516A (en) Bi-layer silylation process
US20050118531A1 (en) Method for controlling critical dimension by utilizing resist sidewall protection
KR100741926B1 (ko) 폴리실리콘 패턴 형성 방법
US6133128A (en) Method for patterning polysilicon gate layer based on a photodefinable hard mask process
US6777340B1 (en) Method of etching a silicon containing layer using multilayer masks
US5902133A (en) Method of forming a narrow polysilicon gate with i-line lithography
KR20070087728A (ko) 폴리머를 이용한 반도체 소자의 게이트 형성 방법
JP2001326173A (ja) パターン形成方法
CN112670168B (zh) 半导体结构的形成方法、晶体管
US7169711B1 (en) Method of using carbon spacers for critical dimension (CD) reduction
US20020137331A1 (en) Method of forming contact holes of reduced dimensions by using reverse-transcription process
KR100511907B1 (ko) 반도체 소자의 제조방법
KR100912958B1 (ko) 반도체 소자의 미세 패턴 제조 방법
JPH04291345A (ja) パターン形成方法
JPH03257825A (ja) 半導体装置の製造方法
KR100367497B1 (ko) 반도체소자의콘택홀형성방법
KR100562290B1 (ko) 반도체 소자 제조 방법