TW388960B - Method for using a hardmask to form an opening in a semiconductor substrate - Google Patents

Method for using a hardmask to form an opening in a semiconductor substrate Download PDF

Info

Publication number
TW388960B
TW388960B TW087116624A TW87116624A TW388960B TW 388960 B TW388960 B TW 388960B TW 087116624 A TW087116624 A TW 087116624A TW 87116624 A TW87116624 A TW 87116624A TW 388960 B TW388960 B TW 388960B
Authority
TW
Taiwan
Prior art keywords
forming
opening
layer
patent application
item
Prior art date
Application number
TW087116624A
Other languages
English (en)
Inventor
Taeho Kook
Alvaro Maury
Kurt George Steiner
Tungsheng Yang
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Application granted granted Critical
Publication of TW388960B publication Critical patent/TW388960B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

A7 ______B7_ 五、發明説明(1 ) 發明領域 (請先閱讀背面之注意事項再填寫本頁) 本發明針對半導體的製造方法,尤其針對使用硬掩模 在半導體基體形成開口的方法。 發明背景 已知微型電路的製造需要形成精確受控制的開口,例 如接觸開口或通道,其隨後被互連而產生組件及非常大型 積體(VL S I )或超大型稹體(ULS I )電路。也已 知界定此種開口的圖型典型上是藉著光石印術過程來產生 ,其涉及使用掩模以及例如紫外'光,電子,或X射線的照 射,以使光抗蝕劑材料中的圖型曝光。光抗蝕劑中的曝光 圖型在晶圖進行隨後的顯影步驟時形成。光抗蝕劑的曝光 部份被去除,而光抗蝕劑的未曝光部份存留以保護其所覆 蓋的基體區域。然後光抗蝕劑已被去除的位置可承受隨後 的各種不同的處理步驟。 以往,在涉及大於0 . 5 (微米)的特徴的技術 中,解析度並非決定性,而可使用較長的波長,例如 6 0 0 nm (毫微米)附近的波長。因此,這些傳統石印 術處理中所用的設備被開發成配合這些設計參數。 但是,但現今的深次微米技術中,可藉著此種石印術 過程來一致地印刷最小尺寸的影像而達成的解祈度在具有 小於0.5的特徵的深次微米電路中變得更具有決定 性。因此,在VLSI或ULSI上的日渐變小的特徵的 製造依賴是否有日渐增高解析度的石印術設備或過程。此 本紙張尺度述用中因國家標率(CNS ) A4規格(210X297公釐)·4- A7 _______B7 _ 五'發明説明(2 ) 較髙的解析度可以數種方式達成。例如,‘可減小照射波長 ,或是可增加系統透鏡的數値隙孔。也可藉著修正光抗蝕 劑的化學性,藉著產生全新的抗蝕劑,或是藉著使用對比 增進層來增加光抗蝕劑的對比,其容許有較小的調變轉換 功能以產生適當的影像。或者,可調整光學系統的相干性 〇 隨著特擞尺寸的變小,由於與對這些較小的特徵定圖 型相關聯的雜散光問題而使控制適當的光抗蝕劑曝光置的 困難度增加。在某些情況中,可能發生想要的光抗蝕劑區 域的過度曝光,而在其他情況中',可能發生光抗蝕劑區域 的曝光不足。在兩種情況中,臨界尺寸(CD)線的寬度 控制均變得較困難。 因此*用於具有小於350nm (0 . 35#m)的 特徵尺寸的深次微米積體電路的光石印術需要對用來界定 電路的光抗蝕劑材料有較短波長的曝光(3 6 5 nm或 248nm)。最近,已有新的步進石印術設備,其使用 較短的波長來容許對較短波長具有靈敏度的光抗蝕劑有較 精確的曝光。以此新技術,可獲得較小的接觸開口及通道 尺寸。但是,此新的步進設備非常昂貴,因而增加整體的 半導體製造成本。 因此,非常想要在沒有與新的步進技術相關聯的額外 初始成本下達成現今深次微米技術所要求的較小的接觸開 口及通道。 發明槪說 本紙張尺度適扪中國國家橾率(CNS〉Α4規格(210X297公釐)_ 5 _ {請先閱讀背面之注意事項再填·ί?τ本頁) 0 訂 ^沪部屮戎 Kiv/;Jh-T消 f"ο"卬*·ν A7 B7 五、發明説明(3 ) 針對習知技術方法的缺點,本發明提供在半導體’介電 層形成接觸或通道開口的方法。在有利的實施例中,此方 法包含的步驟爲在介電層上形成硬掩模層,其中硬掩模層 具有的蝕刻率小於介電層的蝕刻率;形成通過硬掩模層的 i引導開口;在引導開口內形成間隔物使得其減小引導開口 的內徑;及形成通過引導開口的介電層的接觸或通道開口 。在一實施例中,間隔物之形成可藉著在硬掩模上及在引 導開口中形成非晶矽層並且蝕刻非晶矽層以在引導開口內 形成間隔物。在另一實施例中,此方法可另外包含在接觸 開口及引導開口中以及在延伸澄過引導開口的硬掩模層的 至少一部份上澱積導電材料的步驟,以及去除延伸超過引 導開口的硬掩模層及導電材料層的至少一部份的步驟》在 某些實施例中,接觸開口可形成至等於或小於0 . 2 5 μ m的寬度。 澱積導電材料的步驟可包含在接觸開口中澱積鈦磨的 步驟;在鈦層上澱稹氮化鈦層的步驟;以及在氮化鈦層上 澱積鎢金靥的步驟。 在另一實施例中,形成引導開口的步驟包含在硬掩模 層上形成氧化物蝕刻止層的步驟,並且可另外包含在形成 接觸開口之前在引導開口中形成矽間隔物的步驟。 在另一實施例中,去除步驟可包含化學性及機械性老 除硬掩模及導電材料的至少一部份的步驟。在此特別實施 例的一方面中,化學性及機械性去除導電材料的步騍包含 以含有磨料及氧化劑的膏劑來去除導電材料的步驟。磨料 本纸张尺度速圯屮因國家標準(CNS ) A4規格(210X297公釐) " ------------ (諳先閲讀背面之注意事項再楨寫本頁)
•1T A7 _ B7 五、發明説明(4 ) 可包含氧化鋁(Al2〇3),而氧化劑可包含硝酸鐵或過 氧化氫。 在另一實施例中,化學性及機械性去除硬掩模的步驟 可包含以含有矽石及鹼的膏劑來去除硬掩模的步驟。在一 特別實施例中,鹼可具有在7到1 1的範圍內的pH値, 並且在此特別實施例的另一方面中,鹼是選擇自由氨及氫 氧化鉀所組成的群類。 在另一實施例中,形成硬掩模的步驟在介電層上形成 矽層,其可澱稹至1 0 0 nm的厚度。在某些實施例中, 矽層包含聚矽,或者,矽可包含·非晶矽,並且在此種情況 中,形成步驟另外包含於小於5 8 0°C的溫度形成非晶矽 的步驟。 圖式簡要敘述 爲更完全瞭解本發明,以下將參考連同圖式的敘述, 而在圖式中: 圖1顯示典型的金靥氧化物半導體裝置的剖面圖。 圖2顯示具有蝕刻膜圖型的圖1所示的半導體。 圖3 A顯示具有澱積在存留的硬掩模上的矽膜及蝕刻 止層的喁1所示的半導體。 圖3 B顯示具有矽間隔物的圔1所示的半導體。 圖4顯示具有接觸開口的圖1所示的半導體。 圖5顯示具有障壁層及導電材料的圖1所示的半導體 本紙张尺度述州中阀國家標準(CNS ) Α4規格(21 ΟΧ297公釐) ------------ (請先閲讀背面之注意事項再填寫本頁) *?τ A7 B7 五、發明説明(5 ) 圖6顯示具有金屜互連層及抗反射塗覆層的圖1’所示 的半導體。 元件對照表 1 半導體,2 基體,3 場氧化物區域, 4 場氧化物區域, 5 源極區域 ,6 汲極面域 7 閘極,8 閘極氧化物,9 介電層, 10 硬掩模層,1 1 氧化物蝕刻止層, 12 光抗蝕劑,1 3 掩模,1 4 照射, 15 引導開口,1 6 矽膜,1 7 矽間隔物,· 18 接觸開口,1 9 障壁層, 2 0 導電材料, 2 1 金屬互連層, 2 2 抗反射塗覆層 ----------o^.-- (誚先閲讀背面之注意事項再峨寫本頁) —訂 好〆’部中失"^^hn1"於合竹.^卬1 詳細敘述 首先參考圖1 ,圖中顯示典型的金靥氧化物半導體( MOS)裝置1〇〇的剖面圖。如圖1所示,半導體裝置 100包含基體1 10,其可由例如矽,鍺,砷化鎵,或 熟悉此項技術已知的其他材料形成。基體1 1 0典型上包 含均由傳統製程形成的場氧化物區域1 1 2 a,1 1 2 b 及摻雜的源極及汲極區域1 14a,1 14b。位在閘極 氧化物118上的閘極116也可形成在基體110上。 閘極1 1 6及閘極氧化物1 1 8也均由傅統製程形成。閘 極1 1 6及基髏1 1 0上澱稹有介電層1 2 0。在有利的 實施例中,是藉著使用已知的材料例如原矽酸四乙酯( 本紙张尺度述州t囤國家樣準(CNS ) A4規格(210Χ297公釐)· 8 · A7 B7 _ 五、發明説明(6 ) TEOS)的傳統製程來澱積介電層1 2 0。在另一’實施 例中,介電層120摻雜有磷及/或硼。 圖中也顯示形成在介電層1 2 0上的硬掩模層1 3 0 。在有利的實施例中,硬掩模層1 3 0由具有大約1 0 0 n m的厚度的聚矽構成,並且所具有的蝕刻率至少小於介 電層1 2 0的材料的蝕刻率的1/1 0。在另一實施例中 ,硬掩模層1 3 0由非晶矽構成。在硬掩模層1 3 0中使 用具有較寬廣的操作溫度範圍的非晶矽容許在較低的溫度 下澱積硬掩模層130,典型上小於580 °C。另外’在 有利的實施例中,硬掩模層1 3Ό是在大約4 0 0 °€到 5 0 0°C的溫度使用化學蒸汽澱積(CVD)而澱積在介 電層1 2 0上。本發明並不將澱積方法限制於CVD,也 可使用其他的澱積技術例如濺射及電漿增進方法來將硬掩 模層澱稹在介電層上。 在澱積硬掩模層1 3 0之後,在有利的實施例中,可 使用傳統方法在硬掩模層1 3 0上澱積氧化物蝕刻止層 1 4 0。蝕刻止層1 4 0是用來成爲障壁,以防止蝕刻過 程穿透。必須注意蝕刻止層的使用對本發明所揭示的方法 而言並非必要。光抗蝕劑1 5 0典型上成爲薄膜地施加於 蝕刻止層1 4 0,並且隨後在傳統的石印術過程中經由掩 模1 6 0而曝光。掩模1 6 0典型上含有透明及不透明特 徵,其界定一開口,例如要形成在光抗蝕劑1 5 0中的接 觸或通道開口。 在傳統的曝光過程中,接觸孔或通道圖型藉著使用光 本紙张尺度適/1]屮國困家標準(CNS ) Α4規格(2丨0 X 297公釐)-9 - (請先閲讀背面之注意事項再填寫本頁) 4 A7 B7___ 五、發明说明(7 ) 抗蝕劑成爲掩模的電漿蝕刻而形成。但是’光抗蝕劑扁模 在電漿蝕刻期間會被側向侵蝕,因而使所得的圖型尺寸比 原始尺寸大。因此,小尺寸的定圖型比較難以達成。另外 ,用於深次微米光石印術的深紫外線(UV)光抗蝕劑對 電漿蝕刻的阻抗較小,因而導致決定性尺寸的更大損失。 硬掩模層1 3 0的材料與光抗蝕劑1 5 0相比對於鈾 刻種類具有鲛大的阻抗,並且作用成爲用來蝕刻窗口的引 導物。在使用硬掩模層1 3 0之下,可以較大的準確度來 控制以掩模1 6 0定圖型的特徵的尺寸。使用硬掩模層成 爲控制層容許使用現有的傳統歩'進石印術設備,而避免採 用較短波長的較新及較貴的步進石印術設備的成本。在光 抗蝕劑曝露於例如紫外光的照射(以1 7 0槪括表示)及 顯影之後,產生如圖2所示的具有蝕刻膜圖型的半導體。
ivif5.部中头 ^h於合竹.^卬 V f — m m —ϋ I HI m I— —^1 ^^1 ^^1 ο i (请先閲讀背面之注意事項再峨寫本\a〇 參考圖2,圖中顯示真有蝕刻膜圖型的圖1所示的半 導體1 0 0。在有利的實施例中*接觸開口或通道圖型使 用此技術中已知的傳統方法轉移至光抗蝕劑1 5 0。使用 此技術中已知的傅統方法來對曝露的蝕刻止層140及硬 掩模層1 3 0定圖型,以形成相應於多個接觸開口或通道 的多個通過硬掩模層1 3 0的引導開口 2 1 0。然後使用 傳統方法去除存留的光抗蝕劑1 5 0,以曝露存留的硬掩 模層1 3 0。 以下參考圔3A及3B,圖3A顯示具有澱積在存留 的硬掩模層1 3 0及蝕刻止層1 4 0上的矽膜3 1 0的圖 1所示的半導體1 0 0,而圖3 B顯示具有從矽膜3 1 0 本紙张尺度適州中闽國家標準(CNS ) A4規格(210X297公嫠).·|〇. A7 _ _._B7_ 五、發明説明(8 ) 形成的矽間隔物3 2 0的圖1所示的半導體1 0 0。使用 此技術中已知的傳統方法來澱稹矽膜3 1 0 »在有利的實 施例中,在存留的蝕刻止層1 4 0上以及在引導開口 2 1 0中澱積覆蓋且保角的非晶矽膜3 1 0。然後非晶矽 材料承受各向異性的方向性反應離子蝕刻(R I E),以 在引導開口 2 1 0內形成非晶矽間隔物3 2 0。如此,引 導開口 2 10減小了大約兩倍的非晶矽厚度。因爲非晶矽 的澱積典型上不會是各向同性,所以側壁(垂直表面)厚 度在平坦(亦即水平)表面上測量時典型上會在非晶矽的 厚度的大約30%到70%的範圍內。 參考》4,圖中顯示具有形成於介電層1 2 0的接觸 開口 4 1 〇的圖1所示的半導體1 〇〇。使用傅統方法來 形成通過硬掩模層1 3 0的引導開口 2 1 0的多個接觸開 口(以4 1 〇槪括表示)。間隔物3 2 0是用來控制接觸 開□ 4 1 〇的尺寸,以容許使用傳統的石印術來界定小於 0 · 25/zm的特徵尺寸,例如接觸開口或通道。在形成 接觸開口4 1 〇之後,可以以下說明的方式在接觸開口 4 1 0中以及在硬掩模層1 3 0及矽間隔物3 2 0上澱積 障壁層。 參考IB15,圖中顯示具有障壁層5 1 0及導電材料 520的晒1所示的半導體1〇〇。在有利的實施例中, 障壁層5 1 〇由鈦(t i )及氮化鈦(T iN)構成。障 10是藉著首先使用例如物理蒸汽澱積的傳統方法 -來激«Τ i靥而形成在硬掩模層1 3 〇上,矽間隔物 4— I - 1- m —^1 I ^^1 - «I ^^1 1^1 m i (请先閲讀背面之泣意事項典J!A寫本) 本紙張尺度速州中囤國家標车(CNS ) A4規格(2丨〇Χ297公釐) _ ^ . A7 B7 部 A il 而 n -T 消 f, /-、 n 印 i: 五、發明説明(9 ) 320上,以及接觸開口 410中。在澱積Ti層之’後, 也使用此技術中已知的傳統方法在第一 T i層上澱積第二 T i N 層。 在形成障壁靥5 1 0之後,使用傳統方法在障壁層 5 1 〇上澱積導電材料5 2 0。在有利的實施例中,導電 材料爲鎢。導電材料5 2 0是用來「塞住」接觸開口 410,以提供從源極及汲極區域114a,114b及 閘極1 1 6至金屬互連層(未顯示)的導電路徑》 在準備澱積金屬互連層時,去除矽間隔物3 2 0,硬 掩模層1 3 0,以及導電材料5-2 0及障壁層5 i’0不在 接觸開口 4 1 0內的部份。在有利的實施例中,採用化學 機械拋光(CMP )方法》CMP方法是以去除導電材料 5 2 0開始。用來拋光典型上爲鎢的導電材料5 2 0的膏 劑包含磨料組份及氧化劑組份。在有利的實施例中,分別 使用氧化鋁及硝酸鐵成爲膏劑中的磨料及氧化劑》在另一 實施例中,使用過氧化氫成爲膏劑中的氧化劑。在CMP 方法中,是藉著機械硏磨的物理處理與蝕刻的化學處理的 組合來去除導電材料5 2 0。當育劑及CMP襯墊(未顯 示)以典型上大約p至8 p s i的摩力苹-於導零鮮料 5 2 0上時,膏劑 金靥氧化物的薄層 的氧化組份氧化導電材料5 2 0以形成 然後以膏劑的磨料組份輕易地去除此 金屬氧化物。重複叙化及去除處理i到ϋ “掩“‘ 1 3 0,此時採用新的膏劑成分。需要新的育劑是因爲用 來形成硬掩模層及間隔物的矽不會在硝酸鐵或過氧化氫中 本紙张尺度述/Π中國國家標率(CNS ) A4規格(210X297公釐)_ 12 _ (锖先閲讀背面之注意事項存峨对本萸) d. 訂 A7 B7 五、發明説明(10 ) 氧化》新的膏劑是由矽石及鹼構成。在有利的實施例中, 賣劑具有在從大約7到大約1 1的範圍內的pH値,並且 鹼例如可爲氨或氫氧化鉀。以新的膏劑繼績CMP處理, 直到去除硬掩模層1 3 0及矽間隔物3 2 0。在CMP處 理結束時,可澱積金靥互連層。 參考圖6 ,圖中顯示具有金屬互連層6 1 0及抗反射 塗覆層6 2—0的圖1所示的半導體1 0 0。典型上由鋁所 構成的金靥互連層610是使用此技術中已知的傳統方法 例如物理蒸汽澱稹而澱積在介電層1 2 0及接觸開口 4 1 0上。其次也使用傳統方法'在金屬互連層6 Γ0上澱 稹抗反射塗覆層6 2 0 »抗反射塗覆層6 2 0是用來吸收 在隨後的石印術處理步驟中可能穿透光抗鈾劑材料的大部 份輻射》在有利的實施例中,抗反射塗覆層6 2 0所用的 材料爲T i N。 從以上明顯可知本發明提供在半導體介電層形成接觸 開口的方法。本發明所揭示的方法可在不須較靈敏的光抗 蝕劑材料及使用較短波長的新步進石印術設備之下,達成 現今深次微米技術所要求的較小的接觸開口及通道。以上 已相當廣泛地敘述本發明的較佳及其他特徴,使得熟習此 項技術者可較佳地瞭解此處所述的本發明的詳細敘述。另 外,熟習此項技術者應瞭解其可輕易地使用所揭示的觀念 及特定實施例成爲基礎而設計或修正用來執行與本發明相 同目的的其他結構。熟習此項技術者也應瞭解此種等效結 構並未離開本發明的精神及範圍。 (請先閲讀背面之注意事項再填寫本頁)
、1T 本紙張尺度述祀中國國家樣率(CNS ) Α4規格(210X297公釐) -13-

Claims (1)

  1. A8 B8 C8 D8 夂、申請專利範園 1 . 一種在半導體介電層形成開口的方法,包含以下 步驟·· 在該介電層上形成硬掩模層,該罈掩模層具有的蝕刻 率小於該介電層的蝕刻率; 形成通過該硬掩模層的引導開口; 在該引導開口內形成間隔物,該間瞞物減小該引導開 口的內徑;及 形成通過該引導開口的該介電層的該開口。 2. 如申請專利範圍第1項所述的在半導體介電層形 成開口的方法,其中該形成引^開口的步驟包含在該硬掩 模層上形成氧化物蝕刻止層的步驟。 3. 如申請專利範圍第1項所述的在半導體介電層形 成開口的方法,其中該形成間隔物的步驟包含在形成該開 口之前形成矽間隔物的步驟。 4. 如申請專利範圍第1項所述的在半導體介電層形 成開口的方法,另外包含以下步騄: 經濟部中央樣準局貝工消费合作社印製 (請先H讀背面之注f項再填寫本頁) 在該開口及該引導開口中以及在延伸超過該引導開口 的該硬掩模層的至少一部份上澱積導電材料;及 去除延伸超過該引導開口的該硬掩模層及該導電材料 層的至少一部份。 5. 如申請專利範圔第4項所述的在半導體介電層形 成開口的方法,其中該澱積導電材料的步驟包含以下步驟 在該開口中澱積鈦層; 本紙張尺度逍用中國國家揉準(CNS ) A4规格(210X297公釐) -14- B8 六、申請專利範圍 在該鈦層上澱稹氮化鈦層;及 在該氮化鈦層上澱稹鎢金屬。 6. 如申請專利範圍第4項所述的在半導體介電層形 成開□的方法,其中該去除步驟包含化學性及機械性去除 該硬掩模及該導電材料的至少一部份的步驟。 7. 如申請專利範圔第6項所述的在半導體介電層形 成開口的方法,其中該化學性及機械性去除該導電材料的 步驟包含以含有磨料及氧化劑的膏劑來去除該導電材料的 步驟。 8 .如申請專利範圍第所述的在半導髏^電層形 成開口的方法,其中該以育劑來去除的步驟包含使用氧化 鋁(A 1 2〇3)成爲該磨料,並且使用硝酸鐵或過氧化氫 成爲該氧化劑。 9.如申請專利範圍第6項所述的在半導體介電層形 成開口的方法,其中該化學性及機械性去除該硬掩模的步 驟包含以含有矽石及鹼的胥劑來去除該硬掩模的步驊。 經濟部中央橾率局具工消费合作社印装 1〇·如申請專利範圔第9項所述的在半導體介電層 形成開口的方法,其中該驗具有在7到1 1的範圍內的Ρ Η値。 11. 如申請專利範圍第9項所述的在半導體介電層 形成開口的方法,其中該鹼是選擇自由氨及氫氧化鉀所組 成的群類。 12. 如申請專利範圍第1項所述的在半導體介電層 形成開口的方法,其中該形成硬掩模的步驊在該介電層上 -15- (請先閱讀背面之注$項再填寫本貫) 本紙張尺度逋用中國國家揉準(CNS ) Α4规格(210X297公釐) 經濟部中央橾率局貝工消费合作社印氧 A8 B8 C8 _____D8_ . 六、申請專利範圍 r 形成矽餍。 1 3 .如申請專利範圍第i 2項所述的在半導體介電 層形成開口的方法,其中該矽層包含聚矽。 14. 如申請專利範圍第12項所述的在半導體介電 層形成開口的方法,其中該矽包含非晶矽,並且該形成步 驟另外包含於小於5 8 0 °C的溫度形成該非晶矽的步驟。 15. 如申請專利範圍第12項所述的在半導體介電 層形成開口的方法,其中該形成步驟包含形成該矽至大約 1 0 0 n m的厚度的步驟。 16. 如申請專利範圍第1項所述的在半導^介電層 形成開口的方法,其中該形成該開口的步驟包含形成該開 口至等於或小於〇 . 2 5//m的寬度的步驟。 17. 如申請專利範圍第1項所述的在半導體介電層 形成開口的方法,萁中該形成間隔物的步驟包含在該硬掩 模上及在該引導開口中形成非晶矽層的步驟,以及蝕刻該 非晶矽層以形成該間隔物的步驟。 1 8 · —種在半導體氧化物層形成開口的方法,包含 以下步驟: 在該氧化物餍上形成矽層,該矽層具有的蝕刻率小於 該氧化物層的蝕刻率; 形成通過該矽層的引導開口; 在該引導開口內形成間隔物,該間隔物減小該引_開 口的內徑; 形成通過該引導開口的該氧化物層的該開口; 本紙張尺度逋用中國·家標率(CNS > A4規格(210X297公釐) 72 ~" --------Q------ir-----ο (請先《讀背面之注f項再埃寫本頁) D8 D8 經濟部中央揉率局貝工消费合作社印装 六、申請專利範圍 r 在該開口及該引導開口中以及在延伸超過該引導開口 的該矽層的至少一部份上澱積金羼層;及 去除延伸超過該引導開口的該矽層及該金屬層的至少 一部份。 19·如申請專利範圍第18項所述的在半導體氧化 物層形成開口的方法,其中該形成引導開口的步驟包含在 該矽層上形成二氧化矽蝕刻止層的步驟。 2 0 ·如申請專利範圍第1 8項所述的在半導體氧化 物層形成開口的方法,其中該形成間隔物的步驟包含在形 成該開口之前形成矽間隔物的步~驟。 ^ 21.如申請專利範圍第18項所述的在半導體氧化 物層形成開口的方法,其中該澱積金屬層的步驟包含以下 步驟: 在該開口中澱稹鈦層; 在該鈦層上澱積氮化鈦層:及 在該氮化鈦層上澱積鎢金屬。 2 2 .如申請專利範圍第1 8項所述的在半導體氧化 物層形成開口的方法,其中該去除步騄包含化學性及機械 性去除該矽層及該金屬層的至少一部份的步驟。 2 3 .如申請專利範圍第2 2項所述的在半導體氧化 物層形成開口的方法,其中該化學性及機械性去除該金屬 層的至少一部份的步驟包含以含有磨料及氧化劑的膏劑來 去除該金屬層的至少一部份的步驟。 2 4 .如申請專利範圍第2 3項所述的在半導體氧化 (请先閱讀背面之注項再填寫本頁) . 订 本纸張尺度逋用中困國家揉準(CNS ) A4規格(210X297公釐) -17- 經濟部中央橾率局貝工消费合作社印製 A8 B8 C8 D8 六、申請專利範圍 物層形成開口的方法,其中該以資劑來去除的步驟包含使 用氧化鋁(A 1 2〇3)成爲該磨料,並且使用硝酸鐵或過 氧化氫成爲該氧化劑。 2 5 .如申請專利範圍第2 3項所述的在半導體氧化 物層形成開口的方法,其中該化學性及機械性去除該矽層 的至少一部份的步驟包含以含有矽石及鹼的胥劑來去除該 矽層的至少一部份的步驟。 2 6 ·如申請專利範圍第2 5項所述的在半導體氧化 物層形成開口的方法,其中該鹼具有在7到1 1的範圔內 的ρ Η値。 一 " 2 7 .如申請專利範圍第2 5項所述的在半導體氧化 物層形成開口的方法,其中該鹼是選擇自由氨及氫氧化鉀 所組成的群類。 2 8 .如申請專利範圍第1 8項所述的在半導體氧化 物層形成開口的方法,其中該形成矽餍的步驟包含在該二 氧化矽層上形成聚矽層的步驟。 2 9 .如申請專利範圍第1 8項所述的在半導體氧化 物層形成開口的方法,其中該形成矽層的步驟包含在該二 氧化矽層上形成非晶矽層的步驟,並且該形成步驟另外包 含於小於5 8 0 °C的溫度形成該非晶矽的步驟。 3 0 .如申請專利範圍第1 8項所述的在半導體氧化 物層形成開口的方法’其中該形成步驟包含形成該矽層至 大約100nm的厚度的步驊。 31.如申請專利範圍第18項所述的在半導體氧化 本纸張尺度逋用中國國家椹率(CNS ) A4规格(210X297公釐)~ ---------ny------ir-----,ν (請先閩讀背面之注$項再#寫本3f) 4 388960 B8 C8 DS 六、申請專利範国 物層形成開口的方法,其中該形成該開口的步驟包含形成 該開口至等於或小於〇.25#m的寬度的步驟。 3 2 ·如申請專利範圍第1 8項所述的在半導體氧化 物層形成開口的方法,其中該形成間隔物的步驊包含在該 砂層上及在該引導開口中形成非晶矽層的步驟,以及蝕刻 該非晶矽層以形成該間隔物的步驟。 ΓΙΙ — m — (請先K讀背面之注$項再填寫本霣) 訂 經濟部中央標率局貝工消费合作社印*. 本纸强尺度逋用中國·家揉準(CNS ) A4洗格(210X297公釐)
TW087116624A 1997-11-04 1998-10-07 Method for using a hardmask to form an opening in a semiconductor substrate TW388960B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/963,687 US6008123A (en) 1997-11-04 1997-11-04 Method for using a hardmask to form an opening in a semiconductor substrate

Publications (1)

Publication Number Publication Date
TW388960B true TW388960B (en) 2000-05-01

Family

ID=25507568

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087116624A TW388960B (en) 1997-11-04 1998-10-07 Method for using a hardmask to form an opening in a semiconductor substrate

Country Status (4)

Country Link
US (1) US6008123A (zh)
JP (1) JPH11220027A (zh)
KR (1) KR100320163B1 (zh)
TW (1) TW388960B (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6674562B1 (en) * 1994-05-05 2004-01-06 Iridigm Display Corporation Interferometric modulation of radiation
US7550794B2 (en) 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
US8928967B2 (en) 1998-04-08 2015-01-06 Qualcomm Mems Technologies, Inc. Method and device for modulating light
WO1999052006A2 (en) 1998-04-08 1999-10-14 Etalon, Inc. Interferometric modulation of radiation
US6165855A (en) * 1998-12-04 2000-12-26 Advanced Micro Devices, Inc. Antireflective coating used in the fabrication of microcircuit structures in 0.18 micron and smaller technologies
US6287951B1 (en) * 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer
JP2001057412A (ja) * 1999-08-19 2001-02-27 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6610607B1 (en) * 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
DE10053467A1 (de) * 2000-10-27 2002-05-16 Infineon Technologies Ag Verfahren zum Bilden von Kontakten in integrierten Schaltungen
US6639285B1 (en) 2000-11-15 2003-10-28 Agere Systems, Inc. Method for fabricating a semiconductor device
US7396917B2 (en) * 2000-12-05 2008-07-08 Alexion Pharmaceuticals, Inc. Rationally designed antibodies
US20020180052A1 (en) * 2001-06-05 2002-12-05 Nace Layadi Polish or etch stop layer
US6660456B2 (en) 2001-06-27 2003-12-09 International Business Machines Corporation Technique for the size reduction of vias and other images in semiconductor chips
DE10137575A1 (de) * 2001-07-31 2003-02-27 Infineon Technologies Ag Verfahren zur Erzeugung einer Maske sowie Verfahren zur Herstellung einer Halbleitervorrichtung
US7787939B2 (en) 2002-03-18 2010-08-31 Sterling Lc Miniaturized imaging device including utility aperture and SSID
US7591780B2 (en) 2002-03-18 2009-09-22 Sterling Lc Miniaturized imaging device with integrated circuit connector system
US8614768B2 (en) 2002-03-18 2013-12-24 Raytheon Company Miniaturized imaging device including GRIN lens optically coupled to SSID
US7105442B2 (en) 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
KR100457046B1 (ko) * 2002-08-07 2004-11-10 삼성전자주식회사 반도체 장치의 제조에서 콘택 형성 방법
US7781850B2 (en) 2002-09-20 2010-08-24 Qualcomm Mems Technologies, Inc. Controlling electromechanical behavior of structures within a microelectromechanical systems device
US20040077174A1 (en) * 2002-10-18 2004-04-22 Chartered Semiconductor Manufacturing Ltd. Method for forming a high aspect ratio via
US7005374B2 (en) * 2003-12-22 2006-02-28 Nanya Technology Corporation Method for forming contact hole
DE102004001853B3 (de) * 2004-01-13 2005-07-21 Infineon Technologies Ag Verfahren zum Herstellen von Kontaktierungsanschlüssen
CA2575314A1 (en) 2004-07-29 2006-02-09 Idc, Llc System and method for micro-electromechanical operating of an interferometric modulator
US20060292775A1 (en) * 2005-06-28 2006-12-28 Nanya Technology Corporation Method of manufacturing DRAM capable of avoiding bit line leakage
JP2009503565A (ja) 2005-07-22 2009-01-29 クアルコム,インコーポレイテッド Memsデバイスのための支持構造、およびその方法
EP2495212A3 (en) 2005-07-22 2012-10-31 QUALCOMM MEMS Technologies, Inc. Mems devices having support structures and methods of fabricating the same
US7795061B2 (en) 2005-12-29 2010-09-14 Qualcomm Mems Technologies, Inc. Method of creating MEMS device cavities by a non-etching process
US7916980B2 (en) 2006-01-13 2011-03-29 Qualcomm Mems Technologies, Inc. Interconnect structure for MEMS device
US7382515B2 (en) 2006-01-18 2008-06-03 Qualcomm Mems Technologies, Inc. Silicon-rich silicon nitrides as etch stops in MEMS manufacture
US20070178684A1 (en) * 2006-01-31 2007-08-02 Torsten Mueller Method for producing conductor arrays on semiconductor devices
US7450295B2 (en) 2006-03-02 2008-11-11 Qualcomm Mems Technologies, Inc. Methods for producing MEMS with protective coatings using multi-component sacrificial layers
US7733552B2 (en) 2007-03-21 2010-06-08 Qualcomm Mems Technologies, Inc MEMS cavity-coating layers and methods
US7719752B2 (en) 2007-05-11 2010-05-18 Qualcomm Mems Technologies, Inc. MEMS structures, methods of fabricating MEMS components on separate substrates and assembly of same
US7835074B2 (en) 2007-06-05 2010-11-16 Sterling Lc Mini-scope for multi-directional imaging
US8288280B2 (en) * 2007-07-19 2012-10-16 Macronix International Co., Ltd. Conductor removal process
US7969659B2 (en) 2008-01-11 2011-06-28 Sterling Lc Grin lens microscope system
US7863079B2 (en) * 2008-02-05 2011-01-04 Qualcomm Mems Technologies, Inc. Methods of reducing CD loss in a microelectromechanical device
US7851239B2 (en) 2008-06-05 2010-12-14 Qualcomm Mems Technologies, Inc. Low temperature amorphous silicon sacrificial layer for controlled adhesion in MEMS devices
CN102137616B (zh) 2008-06-18 2014-09-10 雷神公司 确定焦距的透明内窥镜头
WO2010014792A2 (en) 2008-07-30 2010-02-04 Sterling Lc Method and device for incremental wavelength variation to analyze tissue
DE102008045036B4 (de) * 2008-08-29 2011-06-22 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Verringern kritischer Abmessungen von Kontaktdurchführungen und Kontakten über der Bauteilebene von Halbleiterbauelementen
WO2010053916A2 (en) 2008-11-04 2010-05-14 Sterling Lc Method and device for wavelength shifted imaging
US7864403B2 (en) 2009-03-27 2011-01-04 Qualcomm Mems Technologies, Inc. Post-release adjustment of interferometric modulator reflectivity
WO2011041720A2 (en) 2009-10-01 2011-04-07 Jacobsen Stephen C Method and apparatus for manipulating movement of a micro-catheter
WO2011041728A2 (en) 2009-10-01 2011-04-07 Jacobsen Stephen C Needle delivered imaging device
WO2011041730A2 (en) 2009-10-01 2011-04-07 Jacobsen Stephen C Light diffusion apparatus
US8828028B2 (en) 2009-11-03 2014-09-09 Raytheon Company Suture device and method for closing a planar opening
DE102010029533B3 (de) 2010-05-31 2012-02-09 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
US10804370B2 (en) * 2017-03-15 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US9984933B1 (en) 2017-10-03 2018-05-29 Globalfoundries Inc. Silicon liner for STI CMP stop in FinFET

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279990A (en) * 1990-03-02 1994-01-18 Motorola, Inc. Method of making a small geometry contact using sidewall spacers
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
KR950000660B1 (ko) * 1992-02-29 1995-01-27 현대전자산업 주식회사 고집적 소자용 미세콘택 형성방법
US5262352A (en) * 1992-08-31 1993-11-16 Motorola, Inc. Method for forming an interconnection structure for conductive layers
KR0136569B1 (ko) * 1992-10-24 1998-04-29 김주용 고집적 반도체 소자의 콘택홀 형성 방법
US5540810A (en) * 1992-12-11 1996-07-30 Micron Technology Inc. IC mechanical planarization process incorporating two slurry compositions for faster material removal times
JP2655469B2 (ja) * 1993-06-30 1997-09-17 日本電気株式会社 半導体集積回路装置の製造方法
US5508218A (en) * 1993-12-28 1996-04-16 Lg Semicon Co., Ltd. Method for fabricating a semiconductor memory
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
JP2720796B2 (ja) * 1994-11-15 1998-03-04 日本電気株式会社 半導体装置の製造方法
JP2882301B2 (ja) * 1995-01-13 1999-04-12 日本電気株式会社 半導体装置の製造方法
US5525552A (en) * 1995-06-08 1996-06-11 Taiwan Semiconductor Manufacturing Company Method for fabricating a MOSFET device with a buried contact
US5719089A (en) * 1996-06-21 1998-02-17 Vanguard International Semiconductor Corporation Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices

Also Published As

Publication number Publication date
US6008123A (en) 1999-12-28
KR19990044999A (ko) 1999-06-25
KR100320163B1 (ko) 2002-02-19
JPH11220027A (ja) 1999-08-10

Similar Documents

Publication Publication Date Title
TW388960B (en) Method for using a hardmask to form an opening in a semiconductor substrate
TW498407B (en) UV-enhanced silylation process to increase etch resistance of ultra thin resists
TWI380350B (en) Double patterning strategy for contact hole and trench in photolithography
US6093508A (en) Dual damascene structure formed in a single photoresist film
US6818141B1 (en) Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US20050164478A1 (en) Novel method of trimming technology
CN104155846B (zh) 采用单次曝光限定多层图案的方法
CN101488450B (zh) 用于形成包括印刷分辨率辅助特征的合成图形的方法
US20120126358A1 (en) Tone inversion with partial underlayer etch
JP2009523312A (ja) デュアル・ダマシン構造を製造するためのフォトマスクおよびその形成方法
JP2009523312A5 (zh)
US6140023A (en) Method for transferring patterns created by lithography
TW541591B (en) Method for etching a surface and for etching a substrate for semiconductor devices
JPWO2009087846A1 (ja) 半導体装置の製造方法
US6133128A (en) Method for patterning polysilicon gate layer based on a photodefinable hard mask process
CN101221889A (zh) 形成图案的方法
CN112017948B (zh) 半导体结构及其形成方法
TW451314B (en) Manufacture method of semiconductor device
CN112670168B (zh) 半导体结构的形成方法、晶体管
TW405200B (en) Formation of sub-groundrule features
TW479323B (en) Manufacturing method of dual damascene
JP3308021B2 (ja) 位相シフトフォトマスクブランク及び位相シフトフォトマスク
CN108231550A (zh) 半导体装置的制作方法
US11854808B2 (en) Photo mask and lithography method using the same
TWI844083B (zh) 光罩與製造半導體裝置的方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees