CN108231550A - 半导体装置的制作方法 - Google Patents

半导体装置的制作方法 Download PDF

Info

Publication number
CN108231550A
CN108231550A CN201710686801.1A CN201710686801A CN108231550A CN 108231550 A CN108231550 A CN 108231550A CN 201710686801 A CN201710686801 A CN 201710686801A CN 108231550 A CN108231550 A CN 108231550A
Authority
CN
China
Prior art keywords
pattern
photoresist layer
photoresist
patterning photoresist
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710686801.1A
Other languages
English (en)
Other versions
CN108231550B (zh
Inventor
王筱姗
吴承翰
张庆裕
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108231550A publication Critical patent/CN108231550A/zh
Application granted granted Critical
Publication of CN108231550B publication Critical patent/CN108231550B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/061Etching masks
    • H05K3/064Photoresists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Optics & Photonics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供一种材料组成以及方法,其包含形成图案化光阻层于基板上。图案化光阻层具有第一图案宽度与第一图案轮廓,且第一图案轮廓具有活性点位的第一比例。在一些例子中,将处理材料涂布至图案化光阻层。在一些实施例中,处理材料键结至图案化光阻层的表面,以提供处理后的图案化光阻层,处理后的图案化光阻层具有第二图案轮廓,第二图案轮廓具有活性点位的第二比例,且第二比例大于第一比例。举例来说,在涂布处理材料至图案化光阻层时,可进行第一图案缩减制程,其中处理后的图案化光阻层具有第二图案宽度,且第二图案宽度小于第一图案宽度。

Description

半导体装置的制作方法
技术领域
本发明实施例关于半导体装置的制作方法,更特别关于用以处理极紫外线微影中的光阻材料的材料组成及/或复合物,以及采用上述材料组成及/或复合物的方法。
背景技术
电子产业对较小与较快的电子装置的需求增加,且电子装置同时提供大量的复杂功能。综上所述,半导体产业的持续趋势为制作低成本、高效能、与低能耗的集成电路。通过缩小半导体的集成电路尺寸(如最小结构尺寸)可达这些远程目标,进而改良产能与降低相关成本。然而缩小尺寸也会增加集成电路制程的复杂性。为了实现半导体集成电路与装置单元的持续进展,需要在半导体制程与技术上具有类似进展。
一般而言,半导体集成电路的最小结构尺寸,为用于微影制程中的射线源波长、光阻组成、光阻选择性、与其他参数的函数。在半导体微影的进展中,射线源波长缩短且较弱,因此光阻设计为尽可能有效地利用射线源。在一例中,导入化学放大光阻组成,以增加光阻对曝光光源的敏感度。然而,化学放大光阻系统面临难以克服的难处,比如薄膜中的低光子吸收度、中等的蚀刻选择性、以及有限的解析度增益。此外,对具有高解析度、低线宽粗糙度、与高敏感度等特性的光阻需求,远大于化学放大光阻系统所能提供。如此一来,化学放大光阻本身在半导体技术的持续进展中,无法满足新世代的微影需求。
如此一来,现有技术无法完全满足所有方面。
发明内容
本发明一实施例提供的半导体装置的制作方法,包括:形成图案化光阻层于基板上,其中图案化光阻层具有第一图案宽度与第一图案轮廓,且第一图案轮廓具有活性点位的第一比例;将处理材料涂布至图案化光阻层,其中处理材料键结至图案化光阻层的表面,以提供处理后的图案化光阻层,处理后的图案化光阻层具有第二图案轮廓,第二图案轮廓具有活性点位的第二比例,且第二比例大于第一比例;以及在涂布处理材料至图案化光阻层时,进行第一图案缩减制程,其中处理后的图案化光阻层具有第二图案宽度,且第二图案宽度小于第一图案宽度。
附图说明
图1A、1B、与1C是一些实施例中的引导图案。
图2A与2B是一些实施例中的第一处理材料。
图3A与3B是一些实施例中的第二处理材料。
图4A、4B、与4C是一些实施例中的接枝单体Rg
图5A、5B、5C、与5D是一些实施例中的活性点位Ra
图6是多种实施例中,采用处理材料作为后处理制程的一部份的方法其流程图。
图7A、7B、7C、与7D是依据图6的方法形成的半导体结构,于多种制程阶段中的上视图与剖视图。
【符号说明】
A-A’、B-B’ 剖线
Ra 活性点位
Rg 第一接枝单体
Rg’ 第二接枝单体
Rs 有机可溶单体
W1、W2 有效图案宽度
102 花生状图案
104 分开的孔洞图案
106 狭长的沟槽图案
200 第一处理材料
300 第二处理材料
600 方法
602、604、606、608、610 步骤
700、710 结构
702 基板
704 图案化光阻层
具体实施方式
下述内容提供的不同实施例或实例可实施本发明的不同结构。特定构件与排列的实施例用以简化本发明而非局限本发明。举例来说,形成第一结构于第二结构上的叙述包含两者直接接触,或两者的间隔有其他额外结构而非直接接触。此外,本发明的多种例子中可重复标号,但这些重复仅用以简化与清楚说明,不代表不同实施例及/或设置之间具有相同标号的单元之间具有相同的对应关系。
此外,空间性的相对用语如「下方」、「其下」、「较下方」、「上方」、「较上方」、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
在一些例子中,下述实施例亦可用于处理深紫外线与电子束微影中的光阻材料。一般而言,微影图案化包含以光阻膜涂布基板,以射线源如深紫外线、紫外线、或电子束等射线源曝光光阻膜,以及在显影剂(化学溶液)中显影曝光后的光阻。显影剂可移除部份的曝光光阻(比如正型光阻的曝光部份或负型光阻的未曝光部份),以形成图案化光阻层。接着可在后续蚀刻制程中,采用图案化光阻层作为蚀刻掩模,以将图案化光阻层的图案转移至下方材料层。在另一实施例中,在对下方层如外延的半导体层进行后续离子注入的制程中,采用光阻图案作为离子注入掩模。
一般而言,半导体集成电路的最小结构尺寸,为用于微影制程中的射线源波长、光阻组成、光阻选择性、与其他参数的函数。在半导体微影进展中,射线源波长缩短为用于深紫外线微影的248nm(KrF激光)至193nm(ArF激光),以及用于极紫外线微影的13.5nm。用以产生这些光波长射线源(光源)相对较弱,因此光阻设计为尽可能有效的利用光源。采用现有的化学放大光阻可达部份目标,且化学放大效果可增加光阻对曝光光源的敏感度。目前大部份的半导体公司采用化学放大光阻以用于大量生产。化学放大光阻已用于248nm(比如KrF激光)与193nm(比如ArF激光)的深紫外线微影,以及13.5nm的极紫外线微影,但对具有高解析度、低线宽粗糙度、与高敏感度等特性的光阻需求,远大于化学放大光阻系统所能提供。上述挑战称作RLS权衡得失,其非常难以同时最佳化光阻的解析度、低线宽粗糙度、与敏感度。如此一来,现有方法无法适当地满足新世代的微影需求。
在用于进一步缩小图案尺寸的至少一例中,采用多种光阻后处理方法。一般而言,这些方法中的处理材料可用于图案化光阻层,其可通过图案缩减材料的种类缩小图案(如缩小关键尺寸)及/或增进解析度。然而至少一些后处理方法对光阻的图案轮廓敏感。如此一来,一些例子中的可变光阻图案轮廓可具有不同区域(比如图案化光阻侧壁区),其具有不同的活性点位(比如与后续沉积的层状物作用的点位)比例。在一些例子中,材料组成可处理图案化光阻层,以在进行图案缩减的后处理制程之前,先调整光阻的图案轮廓。然而此方法会导致较高的制程成本与复杂性。光阻图案缩减的一些例子已揭露,比如2016年1月29日申请的美国专利申请案15/010443,其名称为「显影后处理方法与用于缩减光阻层的关键尺寸的材料」。
与现有技术相较,本发明实施例具有优点。但应理解其他实施例可具有不同优点,下述内容不必说明所有优点,且所有实施例不需具有特定优点。一般而言,下述实施例提供材料组成与处理光阻材料(比如图案化光阻层)的方法,进而提供较佳的图案缩减及/或解析度增进。在多种实施例中,此处所述的材料组成与处理制程对光阻的图案轮廓的敏感度可最小化。在一些例子中,本处所述的实施例亦可用以调整图案轮廓。上述调整步骤可在图案缩减制程之前,其中缩减材料可沉积于处理后的图案化光阻层上。在另一实施例中,此处所述的处理材料本身可用于图案缩减制程,使图案缩减制程对光阻图案轮廓实质上不敏感。一般而言,多种实施例中的处理制程可用以提供较一致的活性点位比例于图案化光阻层的表面上。
在多种实施例中,此处所述的材料组成与处理制程可应于于多种引导图案种类,其可包含图案化光阻层。举例来说,此处所述的一些引导图案可包含合并或分开的1×2、1×3、1×N、或N×N个(N大于3)方形或狭长孔洞。这些图案阵列或图案链可依任何方向或角度形成。在一些例子中,引导图案可包含花生状图案或较长沟槽。以图1A、1B、与1C为例,分别为引导图案的一些种类,比如花生状图案102、分开的孔洞图案104、与狭长的沟槽图案106。在一些实施例中,在处理制程后于这些图案上进行后续的缩减制程,可用以合并引导图案其宽度狭小的部份(比如形成分隔的结构),以缩减图案结构或调整图案结构的形状。在一些实施例中,此处所述的材料组成与方法可用以进行缩减制程。
图2A与2B所示的例子为一些实施例中的第一处理材料200。在多种实施例中,用以处理光阻材料的材料组成(比如第一处理材料200)可包含第一接枝单体Rg、第二接枝单体Rg’、与有机可溶单体Rs中的一或多者。在多种例子中,处理材料与引导图案(如图案化光阻层)作用,而引导图案可如图1A、1B、与1C所示。一般而言,一些实施例中的处理材料可包含两种或更多的接枝单体,其与引导图案之间具有不同的键结强度。举例来说,一些例子中的引导图案的不同区域可具有不同种类的活性点位(比如由不同材料组成),其倾向键结至具有特定键结强度的一或多个接枝单体。如此一来,多种实施例中两种或更多接枝单体的不同键结强度,有利于使处理材料一致地覆盖引导图案的不同区域,不论特定的引导图案区域中的活性点位种类为何。在一些例子中,引导图案的轮廓(比如花生状图案102、分开的孔洞图案104、与狭长的沟槽图案106等引导图案)在后处理阶段(比如以第一处理材料200处理后)中可调整,其采用沉积于处理后的引导图案上的缩减材料。在一些实施例中,第一处理材料200可包含单一溶剂或混合溶剂。在一些实施例中,溶剂为有机溶剂,其可部份地溶解引导图案。在一些例子中,溶剂可包含醋酸正丁酯、2-庚酮、丙二醇甲醚醋酸酯、丙二醇甲醚、丙二醇乙醚、环己酮、γ-丁内酯、及/或甲基异丁基甲醇。在一些实施例中,后处理制程中的溶剂可部份地溶解引导图案。
举例来说,第一接枝单体Rg或第二接枝单体Rg’可与酸作用,因此第一接枝单体Rg与第二接枝单体Rg’可包含碱,比如具有碱性的单体。如此一来,一些实施例中的第一接枝单体Rg及/或第二接枝单体Rg’的酸解离常数(pKa)大于7。在一些例子中,第一接枝单体Rg及/或第二接枝单体Rg’可包含一或多个官能基如NH3基、一级至三级胺基、OH基、NCS基、烯基、酚基、C5-C20的杂环基、或CN基。在一些实施例中,第一接枝单体Rg及第二接枝单体Rg’对引导图案(比如花生状图案102、分开的孔洞图案104、与狭长的沟槽图案106等引导图案)具有不同的键结强度,因此第一接枝单体Rg及第二接枝单体Rg’与引导图案之间的作用力具有选择性。如此一来,一些实施例中的第一接枝单体Rg与第二接枝单体Rg’的碱性可不同。在一些实施例中,第一接枝单体Rg与第二接枝单体Rg’之间的酸解离常数差异大于0.3。在一些例子中,第一接枝单体Rg与第二接枝单体Rg’之间的酸解离常数差异大于0.5。在一些实施例中,有机可溶单体Rs为有机化合物(如脂肪族化合物)以增加溶解度。在一些实施例中,有机可溶单体Rs可包含C5-C20的烷基、环烷基、C5-C20的饱合或未饱合的碳氢环、或C5-C20的杂环基。
在多种实施例中,第一处理材料200可包含聚合物链,且聚合物链包含嵌段共聚物或无规共聚物。如图2B所示的一些例子,第一处理材料200的化学结构可包含分别连接至A1、A2、与A3的第一接枝单体Rg、第二接枝单体Rg’、与有机可溶单体Rs,且A1、A2、与A3可各自为COO-或PhO-。A1、A2、与A3可分别键结至化学主链,比如作为化学品X、Y、与Z的一部份。在一些实施例中,X、Y、与Z的莫耳比如下:X+Y+Z=1.0,0.1<X<0.9,0.25<Y<0.5,且0<Z<0.5。键结至主链的Xa、Xb、与Xc可为氢或甲基。
图3A与3B是一些实施例中的第二处理材料300。在多种实施例中,第二处理材料300与第一处理材料200不同,且第二处理材料300可包含第一接枝单体Rg、活性点位Ra、与有机可溶单体Rs中的一或多者。在多种例子中,第二处理材料与引导图案作用,且引导图案可为花生状图案102、分开的孔洞图案104、与狭长的沟槽图案106等引导图案。肯定的是一或多个实施例中,第一处理材料200可存在于第二处理材料300中,反之亦然。此外,一些实施例中的第二处理材料300可包含至少一活性点位,用以与后处理材料(比如在施加第二处理材料300之后沉积的图案缩减材料或其他材料)作用。举例来说,导入有机可溶单体Rs可增加在显影剂中的溶解度。在多种例子中,活性点位Ra与第一接枝单体Rg之间具有分子间作用力,且活性点位Ra可增加聚合物中的键结强度(比如在第二处理材料300中)。在一些实施例中,第二处理材料300可应用于增进效能的特定图案,比如增进解析度及/或图案缩减的特定图案。在一些例子中,第二处理材料300可应用于任何种类的引导图案形状如前述,包括花生状、狭长沟槽、或其他合适图案。
举例来说,第一接枝单体Rg可与酸作用,因此第一接枝单体Rg可包含碱,比如具有碱性的单体。如此一来,一些实施例中的第一接枝单体Rg的酸解离常数(pKa)大于7。在一些例子中,第一接枝单体Rg可包含一或多个官能基如NH3基、一级至三级胺基、OH基、NCS基、烯基、酚基、C5-C20的杂环基、或CN基。第一接枝单体Rg的其他例子如图4A至4C所示。在一些实施例中,活性点位Ra可包含酸性单体。在一些例子中,活性点位Ra可包含官能基如羟基、羧基、酚醛化合物、羧酸、或上述的组合。活性点位Ra的其他例子如图5A至5D所示。在一些实施例中,有机可溶单体Rs为有机化合物(如脂肪族化合物)以增进溶解度。在一些实施例中,有机可溶单体Rs可包含C5-C20的烷基、环烷基、C5-C20的饱合或未饱合碳氢环、或C5-C20的杂环基。
在多种实施例中,第二处理材料300可包含聚合物链,且聚合物链包含嵌段共聚物或无规共聚物。如图3B所示的一些例子,第三处理材料300的化学结构可包含分别连接至A3、A2、与A1的第一接枝单体Rg、活性单体Ra、与有机可溶单体Rs,且A1、A2、与A3可各自为COO-或PhO-。A1、A2、与A3可分别键结至化学主链,比如作为化学品X、Y、与Z的一部份。在一些实施例中,X、Y、与Z的莫耳比如下:X+Y+Z=1.0,0<X<1,0<Y<1,且0<Z<1。键结至主链的Xa、Xb、与Xc可为氢或甲基。
在多种实施例中,第一处理材料200与第二处理材料300可用于处理光阻材料(如图案化光阻层)。图6是采用处理材料(如第一处理材料200或第二处理材料300)作为半导体制程的方法600其流程图。在方法600之前、之中、或之后亦可进行额外步骤,且方法的其他实施例可置换、省略、或调换一些步骤。应注意方法600仅用以举例,而非局限本发明至后述申请专利范围未实际限缩的范围。方法600将搭配图7A至7D进一步说明。
在多种实施例中,方法600的步骤602提供基板702。在一些实施例中,基板702包含金属、金属合金、金属的氮化物、硫化物、硒化物、氧化物、及/或硅化物如MXa(其中M为金属而X为氮、硫、硒、氧、或硅,而a为约0.4至2.5)。举例来说,至少一些实施例中的基板702包含钛、铝、钴、钌、氮化钛、氮化钨、与氮化钽中的一或多者。在其他实施例中,基板702包含硅、金属氧化物、及/或金属氮化物如MXb(其中M为金属或硅,X为氮或氧,而b为约0.4至2.5)。举例来说,至少一些实施例中的基板702包含氧化硅、氮化硅、氧化铝、氧化铪、与氧化镧中的一或多者。
一般而言,一些实施例中的基板702可包含下方层(或材料层)形成其上,用以进行后续制程如图案化或注入。在一些例子中,基板702本身可用以进行后续制程。在一些例子中,下方层可包含即将图案化的硬掩模层。在一些例子中,下方层可包含即将进行离子注入的外延半导体层。在一实施例中,下方层可包含硬掩模层,其包含材料如氧化硅、氮化硅、氮氧化硅、氮化钛、或其他合适材料或组成。在一些实施例中,下方层可包含抗反射涂层如无氮的抗反射涂层,其包含材料如氧化硅、碳氧化硅、或电浆增强化学气相沉积的氧化硅。在多种实施例中,下方层可包含高介电常数介电层、栅极层、硬掩模层、界面层、盖层、扩散阻障层、介电层、导电层、其他合适的层状物、及/或上述的组合。采用一些下方层的例子并非用以局限本发明实施例,在未偏离本发明范畴的前提下一样可采用其他合适的下方层。
方法600的步骤604形成光阻层于基板702(或位于基板702上的下方层)上。在多种实施例中,先形成光阻层于基板702(或位于基板上的下方层)上。在多种例子中,光阻层至少可包含聚合物、光酸产生剂、淬息剂(碱)、与溶剂。在一些实施例中,光阻层包含正型光阻,其包含酸可切断的聚合物。举例来说,光酸产生剂在曝光(如紫外线曝光)后可释放酸,接着在后续的曝光后烘步骤中,酸将切断酸可切断的聚合物。在一些例子中,在酸切断酸可切断聚合物之后,聚合物(如光阻)将变得较亲水而不溶于溶剂或碱性溶液中。在一些实施例中,光阻层可包含负型光阻,其包含至少一酸催化的可交联聚合物与四甲基乙二醇的聚合物。举例来说,光酸产生剂在曝光(如紫外线曝光)后可释放酸,接着酸将催化酸催化的可交联聚合物的交联反应,或者使四甲基乙二醇的聚合物产生四甲基乙二醇重排。在一些例子中,在交联反应或四甲基乙二醇重排后,聚合物(如光阻)将变得较疏水而不溶于碱性溶液中。在一些例子中,光阻层可进一步包含界面活性剂、发色团、与交联剂。在一些实施例中,光阻的聚合物其分子量可介于约1000至约20000之间。在多种实施例中,光阻层对微影曝光制程中所用的射线敏感,且对蚀刻制程(或离子注入制程)具有抗性。在一些实施例中,光阻层的形成方法为旋转涂布制程。在一些例子中,在形成光阻层前,先形成黏着层如六甲基二硅氮烷层于基板(或视情况位于基板上的下方层)上。在一些实施例中,在形成光阻层之后以及进行曝光制程之前,可进行预烘烤制程以蒸发溶剂并使光阻层致密化。在多种实施例中,光阻层对多种射线敏感,比如深紫外线(如KrF激光的248nm射线或者ArF激光的193nm射线)、极紫外线(如13.5nm射线)、电子束、或离子束。一般而言,多种实施例中的光阻层可对波长小于约250nm的射线敏感。在一些实施例中,光阻可包含三层堆迭,其包含有机下方层、有机下方层上的底抗反射涂层、以及底抗反射涂层上的光阻层。
方法600的步骤606图案化光阻层。一般而言,在形成光阻层之后,将图案曝光至涂布光阻层的基板上。举例来说,多种实施例中的光阻层可经由中间光掩模曝光,且曝光制程采用微影成像系统。在一些实施例中,可采用极紫外线(如13.5nm)曝光光阻层。在其他实施例中,可采用深紫外线(如248nm的KrF准分子激光或193nm的ArF准分子激光)、X光、电子束、离子束、及/或其他合适的射线源曝光光阻层。在多种例子中,曝光光阻层的步骤可于空气、液体(比如浸润式微影)、或真空(比如极紫外线微影与电子束微影)中进行。在一些实施例中,射线束可经由光掩模(如穿透式光掩模或反射式光掩模)图案化,且光掩模可包含解析度增进结构如相移结构及/或光学邻近修正,并采用离轴照射模式。在一些其他实施例中,以预定图案如集成电路布局直接调整射线束,而不需采用光掩模。举例来说,可采用数字图案产生器或直写模式。
在一些实施例中,在将图案曝光至涂布光阻的基板上之后,可进行烘烤制程。举例来说,一些实施例在曝光光阻层之后以及进行显影光阻的制程之前,可进行后烘烤制程以稳定并硬化曝光后的光阻层。在一些例子中,曝光制程后将形成潜图案于光阻层中。举例来说,潜图案指的是光阻层上的曝光图案,其于显影制程后将变成物理的光阻图案。在多种实施例中,光阻层的潜图案可包含光阻层的未曝光部份与曝光部份。在多种实施例中,曝光制程后的光阻层其曝光部份将产生物理变化或化学变化。在一些实施例中,若采用正型光阻,则曝光部份将溶解于后续的显影制程中。在一些例子中,若采用负型光阻,则曝光部份将转为不溶,且后续的显影制程将溶解未曝光部份。
在一些实施例中,在烘烤制程后进行显影制程,以形成图案化光阻层,如图7A至7D所示。举例来说,在形成潜影像之后的多种实施例中,进行显影光阻制程以形成图案化光阻层704于基板702上。在一些例子中,图案化光阻层704可具有不一致的图案轮廓(比如粗糙边缘、不一致的图案宽度、或类似轮廓)。在一些实施例中,光阻显影制程包含本技术领域已知的湿式化学显影制程。如上所述,若采用正型光阻,则曝光部份将溶于显影制程中。若采用负型光阻,则曝光部份将不溶且未曝光部份将被移除。
方法600的步骤608沉积处理材料于图案化光阻层上。如图7A、7B、与7C所示的例子与步骤608的一实施例,处理材料(如第一处理材料200或第二处理材料300)可沉积于图案化光阻层704上以涂布图案化的光阻层(如引导图案),包括涂布图案轮廓的不一致处。图7A是结构700的上视图,其位于基板上且包含引导图案(自图案化光阻层形成)。图7B是结构700沿着图7A中剖线A-A’的剖视图。第7C图是结构700沿着图7A中剖线B-B’的剖视图。如此一来,在图7A至7C所示的例子中,具有第一厚度的处理材料可缩减有效图案宽度(比如自有效图案宽度W1缩减至有效图案宽度W2),且可使引导图案其宽度狭小的部份合并以形成分隔结构(沿着图7A的剖线B-B’)。在一些例子中,后续的缩减材料可沉积于处理后的引导图案上,以进一步缩减图案结构、合并引导图案其宽度狭小的部份、或者调整图案结构的形状。图7D是另一结构710的上视图,其包含引导图案。如图7D所示,沉积处理材料(具有第二厚度,且第二厚度小于第一厚度)不会使引导图案其狭小宽度的部份合并,只会缩减至少部份的有效图案宽度,并提供更一致的处理后的光阻表面轮廓。举例来说,如图7B所示,存在于处理后的材料其露出的横向表面的活性点位的一致层状物,可确保轮廓更加独立的表面以用于后续制程(比如沉积缩减材料等后续制程)。在多种实施例中,处理材料的沉积方法可为旋转涂布制程、气相沉积制程、或其他合适制程。在一些实施例中,在沉积处理材料于图案化光阻层上之后,可视情况进行烘烤步骤。在多种实施例中,处理材料可经由氢键、离子键、或共价键键结至图案化光阻层的表面。
方法600的步骤610移除处理材料的未键结部份。举例来说,在涂布与视情况烘烤处理材料之后,可移除未键结至图案化光阻层其表面的部份处理材料,且移除方法可采用上述溶剂。在多种实施例中,处理材料键结至图案化的光阻层形成处理后的图案化光阻层,包括对图案化光阻的轮廓实质上不敏感的光阻图案。换言之,处理后的图案化光阻层包含的图案,比未处理的图案化光阻层具有较一致的图案(比如较低的线宽粗糙度)。如此一来,处理后的图案化光阻层,可用于具有较佳解析度及较佳关键尺寸缩减的图案。在一些例子中,可进行一或多个额外的后处理制程,比如作为图案缩减制程的一部份。在这些例子中,后续的图案缩减材料可沉积于处理材料上。在其他实施例中,处理材料与其沉积制程可用于图案缩减制程。
在一些例子中,在处理制程与形成处理后的图案化光阻层之后,可经由处理后的光阻层的开口对露出的基板或下方层进行制程,其中处理后的光阻层作为掩模,在一些实施例中,这些制程可包含以处理后的图案化光阻层作为蚀刻掩模并施加至下方层的蚀刻制程,以将图案自处理后的图案化光阻层转移至下方层。在另一实施例中,可包含以处理后的图案化光阻层作为离子注入掩模的离子注入制程,其可形成多种掺杂结构于下方层中。在一些实施例中,可采用处理后的图案化光阻层作为掩模并进行其他制程。
上述进阶的微影制程、方法、与材料可用于多种应用,比如鳍状场效晶体管。举例来说,可图案化鳍状物以产生较紧密的间隔于结构之间,而上述内容则适用于此步骤。此外,用于形成鳍状场效晶体管的鳍状物的间隔物(又称作芯),其可由上述制程形成。
更特别的是如上所述,在方法600之前、之中、或之后可进行额外步骤,且方法的其他实施例可取代、省略、或调换一些步骤。举例来说,一些实施例中的基板702可包含半导体基板,且方法600可形成鳍状场效晶体管装置。在这些例子中,方法600可进一步包含形成多个主动鳍状物于半导体基板中。此外在此例中,方法600可进一步包含蚀刻半导体基板以形成沟槽于半导体基板中;将介电材料填入沟槽中;进行化学机械研磨制程以形成浅沟槽隔离结构;外延成长及/或使浅沟槽隔离结构凹陷,以形成鳍状主动区。在一些实施例中,方法600包含其他步骤以形成多个栅极、栅极间隔物、掺杂的源极/漏极区、用于栅极/源极/漏极结构的接点、与类似单元。在一些实施例中,后续制程可形成多种接点/通孔/线路,以及基板上的多层内连线结构(比如金属层与层间介电物),其设置以连接多种结构以形成功能电路。上述功能电路可包含一或多个装置(比如一或多个鳍状场效晶体管装置)。在此例中,多层内连线可包含垂直内连线如通孔或接点,以及水平内连线如金属线路。多种内连线结构可采用多种导电材料除铜、钨、及/或硅化物。在一例中,镶嵌制程及/或双镶嵌制程可用以形成铜相关的多层内连线结构。本技术领域中具有通常知识者可得益于本发明实施例,并在未偏离本发明范畴的前提下,实施处理材料的其他实施例及应用。
应注意的是,本发明实施例的处理材料与方法并不限于特定的基板种类、光掩模种类、光阻种类、射线源(如射线波长)、及/或微影系统种类。举例来说,处理材料与方法应用的光阻,其可用于图案化多种基板材料上的结构及/或装置,且基板材料可为硅、锗、碳化硅、硅锗、钻石、半导体化合物、或半导体合金,且基板可视情况包含一或多个外延层、可具有应力以增进效能、可包含绝缘层上硅结构、及/或具有其他合适的增进结构。本发明实施例可进一步应于于采用反射式光掩模(比如用于极紫外线微影)、穿透式光掩模、双强度光掩模、相移光掩模、或本技术领域已知的其他光掩模种类的制程。在一些例子中,此处揭露的实施例可应用的制程,其采用多种光阻如聚甲基丙烯酸甲酯、SU-8、极紫外线光阻、正型光阻、负型光阻、或本技术领域已知的其他种类光阻。此外,本发明实施例可应用于多种微影系统/对准机种类,比如接触对准机、近接对准机、投影对准机、或极紫外线微影系统。如此一来,本发明实施例可进一步应用于采用任何种类的射线源(射线波长)的系统,且射线源可为紫外线、深紫外线、极紫外线、或本技术领域已知的其他射线源。
与现有技术相较,此处所述的多种实施例具有多种优点。应理解的是,上述内容不需说明所有优点,所有实施例不需具有特定优点,且其他实施例可具有不同优点。举例来说,此处所述的实施例包含材料组成与处理光阻材料(如图案化光阻层)的方法,其提供较佳的图案缩减及/或增进解析度。在多种实施例中,此处所述的材料组成与处理制程,可使对光阻的图案轮廓的敏感度最小化。在一些例子中,此处所述的实施例亦可用以调整图案轮廓(比如在图案缩减制程之前)。在其他实施例中,处理材料本身可用于图案缩减制程,其可使图案缩减制程对光阻的图案轮廓实质上不敏感。如此一来,本发明实施例可克服至少一些现有光阻组成与方法的多种缺点。
如此一来,本发明一实施例提供半导体装置的制作方法,其包括形成图案化光阻层于基板上。在多种实施例中,图案化光阻层具有第一图案宽度与第一图案轮廓,且第一图案轮廓具有活性点位的第一比例。在一些例子中,将处理材料涂布至图案化光阻层。在一些实施例中,处理材料键结至图案化光阻层的表面,以提供处理后的图案化光阻层,处理后的图案化光阻层具有第二图案轮廓,第二图案轮廓具有活性点位的第二比例,且第二比例大于第一比例。举例来说,在涂布处理材料至图案化光阻层时,可进行第一图案缩减制程,其中处理后的图案化光阻层具有第二图案宽度,且第二图案宽度小于第一图案宽度。
在一些实施例中,上述方法更包括在进行该第一图案缩减制程之后,沉积缩减材料至处理后的图案化光阻层上,以对处理后的图案化光阻层进行第二图案缩减制程。
在一些实施例中,上述方法的第一图案轮廓具有第一粗糙度,第二图案轮廓具有第二粗糙度,且第二粗糙度小于第一粗糙度。
在一些实施例中,上述方法的图案化光阻层包含的引导图案,具有合并或分开的1×2、1×3、1×N、或N×N个方形或狭长孔洞,其中N大于3。
在一些实施例中,上述方法的处理材料包含第一接枝单体Rg、第二接枝单体Rg’、与有机可溶单体Rs中的一或多者。
在一些实施例中,上述方法的处理材料包含至少一溶剂,且溶剂为醋酸正丁酯、2-庚酮、丙二醇甲醚醋酸酯、丙二醇甲醚、丙二醇乙醚、环己酮、γ-丁内酯、或甲基异丁基甲醇。
在一些实施例中,上述方法的第一接枝单体Rg与第二接枝单体Rg’包括碱,且第一接枝单体Rg与第二接枝单体Rg’的酸解离常数各自大于7。
在一些实施例中,第一接枝单体Rg与第二接枝单体Rg’包含一或多个官能基如NH3基、一级至三级胺基、OH基、NCS基、烯基、酚基、C5-C20的杂环基、与CN基中至少一者。
在一些实施例中,第一接枝单体Rg与第二接枝单体Rg’之间的酸解离常数差距大于0.3。
在一些实施例中,有机可溶单体Rs包含C5-C20的烷基、环烷基、C5-C20的饱合或未饱合的碳氢环、或C5-C20的杂环基。
在一些实施例中,处理材料包含接枝单体Rg、活性点位Ra、与有机可溶单体Rs中的一或多者。
在一些实施例中,活性点位Ra包含的官能基为羟基、羧基、酚醛化合物、羧酸、或上述的组合。
在另一实施例中,半导体装置的制作方法包括:形成引导图案于基板上,其中引导图案具有第一图案宽度。在一些实施例中,采用处理材料处理引导图案,以提供处理后的引导图案。处理材料包含至少一接枝单体,且接枝单体键结至引导图案的横向表面。在多种例子中,处理后的引导图案的有效图案宽度小于引导图案的有效图案宽度,且处理材料沿着处理后的引导图案的横向表面提供活性点位的一致层状物。
在一实施例中,上述方法的处理材料包含至少两种接枝单体,其中每一接枝单体与引导图案具有不同的键结强度。
在一实施例中,上述方法更包括在处理引导图案之后,沉积缩减材料至引导图案上,以减少处理后的引导图案的有效宽度。
在一实施例中,上述方法的处理材料包含第一接枝单体Rg、第二接枝单体Rg’、与有机可溶单体Rs中的一或多者。
在一实施例中,上述方法的处理材料包含接枝单体Rg、活性点位Ra、与有机可溶单体Rs中的一或多者。
在又一实施例中,半导体装置的制作方法包括:形成光阻层于基板上。在一些实施例中,对光阻层进行曝光制程,其中曝光制程采用的极紫外线光源经由中间光掩模投影至光阻层上,且中间光掩模包含电路图案。在多种实施例中,在进行曝光制程之后,显影曝光的光阻层以形成图案化光阻层。在一些实施例中,图案化光阻层包含电路图案。此外,图案化光阻层具有第一图案宽度与第一图案轮廓,且第一图案轮廓具有活性点位的第一比例。在一些实施例中,接着将处理材料涂布至图案化光阻层,其中处理材料键结至图案化光阻层的表面以提供处理后的图案化光阻层,其中处理后的图案化光阻层具有第二图案轮廓,第二图案轮廓具有活性点位的第二比例,且第二比例大于第一比例。在多种例子中,在将处理材料涂布至图案化光阻层时,进行第一图案缩减制程,其中处理后的图案化光阻层具有第二图案宽度,且第二图案宽度小于第一图案宽度。
在一些实施例中,上述方法的处理材料包含第一接枝单体Rg、第二接枝单体Rg’、活性点位Ra、与有机可溶单体Rs中的一或多者。
在一些实施例中,上述方法的电路图案包含一或多个鳍状场效晶体管装置的电路图案。
上述实施例的特征有利于本技术领域中具有通常知识者理解本发明实施例。本技术领域中具有通常知识者应理解可采用本发明作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中具有通常知识者亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的精神与范畴的前提下进行改变、替换、或更动。

Claims (1)

1.一种半导体装置的制作方法,包括:
形成一图案化光阻层于一基板上,其中该图案化光阻层具有一第一图案宽度与一第一图案轮廓,且该第一图案轮廓具有活性点位的第一比例;
将一处理材料涂布至该图案化光阻层,其中该处理材料键结至该图案化光阻层的表面,以提供一处理后的图案化光阻层,该处理后的图案化光阻层具有一第二图案轮廓,该第二图案轮廓具有活性点位的第二比例,且第二比例大于第一比例;以及
在涂布该处理材料至该图案化光阻层时,进行一第一图案缩减制程,其中该处理后的图案化光阻层具有一第二图案宽度,且该第二图案宽度小于该第一图案宽度。
CN201710686801.1A 2016-12-15 2017-08-11 半导体装置的制作方法 Active CN108231550B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434886P 2016-12-15 2016-12-15
US62/434,886 2016-12-15
US15/621,646 US10517179B2 (en) 2016-12-15 2017-06-13 Material composition and methods thereof
US15/621,646 2017-06-13

Publications (2)

Publication Number Publication Date
CN108231550A true CN108231550A (zh) 2018-06-29
CN108231550B CN108231550B (zh) 2021-10-26

Family

ID=62562259

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710686801.1A Active CN108231550B (zh) 2016-12-15 2017-08-11 半导体装置的制作方法

Country Status (3)

Country Link
US (2) US10517179B2 (zh)
CN (1) CN108231550B (zh)
TW (1) TWI747942B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10517179B2 (en) * 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1531018A (zh) * 2003-03-10 2004-09-22 联华电子股份有限公司 图案光阻的微缩制程
CN1932645A (zh) * 2005-09-13 2007-03-21 海力士半导体有限公司 包括阻剂流动工艺及膜涂布工艺的半导体装置制造方法
CN101266913A (zh) * 2007-03-12 2008-09-17 旺宏电子股份有限公司 增进图案均匀度的方法
CN101556437A (zh) * 2008-02-08 2009-10-14 台湾积体电路制造股份有限公司 图案化方法
CN102347218A (zh) * 2010-07-23 2012-02-08 台湾积体电路制造股份有限公司 间距缩减的方法
CN103454856A (zh) * 2012-06-01 2013-12-18 台湾积体电路制造股份有限公司 感光材料和光刻方法
US20140065843A1 (en) * 2012-09-04 2014-03-06 Taiwan Semiconductor Manufacturing Company, Ltd Method of Forming a Photoresist Layer
CN103915494A (zh) * 2012-12-28 2014-07-09 台湾积体电路制造股份有限公司 FinFET的新型鳍结构
CN103926796A (zh) * 2013-01-02 2014-07-16 台湾积体电路制造股份有限公司 用于光刻的涂层材料和方法
CN104124273A (zh) * 2013-04-26 2014-10-29 台湾积体电路制造股份有限公司 具有应变缓冲层的mos器件及其形成方法
CN104241088A (zh) * 2013-06-09 2014-12-24 中芯国际集成电路制造(上海)有限公司 条形结构的形成方法
US9146469B2 (en) * 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6207357B1 (en) * 1999-04-23 2001-03-27 Micron Technology, Inc. Methods of forming photoresist and apparatus for forming photoresist
US6911383B2 (en) * 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
JP2008189856A (ja) * 2007-02-06 2008-08-21 Seiko Epson Corp グラフト重合層の形成方法、電子デバイスおよび電子機器
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
KR101447759B1 (ko) * 2008-12-16 2014-10-06 도쿄엘렉트론가부시키가이샤 도포 처리 방법 및 도포 처리 장치
US20130122425A1 (en) * 2011-10-31 2013-05-16 Tokyo Ohka Kogyo Co., Ltd. Method for forming fine pattern, and coating forming agent for pattern fining
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8835323B1 (en) * 2013-03-14 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
JP2016539361A (ja) * 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
KR102066301B1 (ko) * 2013-11-25 2020-01-14 도쿄엘렉트론가부시키가이샤 패턴 형성 방법 및 가열 장치
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
US9761817B2 (en) * 2015-03-13 2017-09-12 Corning Incorporated Photo-patternable gate dielectrics for OFET
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10517179B2 (en) * 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1531018A (zh) * 2003-03-10 2004-09-22 联华电子股份有限公司 图案光阻的微缩制程
CN1932645A (zh) * 2005-09-13 2007-03-21 海力士半导体有限公司 包括阻剂流动工艺及膜涂布工艺的半导体装置制造方法
CN101266913A (zh) * 2007-03-12 2008-09-17 旺宏电子股份有限公司 增进图案均匀度的方法
CN101556437A (zh) * 2008-02-08 2009-10-14 台湾积体电路制造股份有限公司 图案化方法
CN102347218A (zh) * 2010-07-23 2012-02-08 台湾积体电路制造股份有限公司 间距缩减的方法
CN103454856A (zh) * 2012-06-01 2013-12-18 台湾积体电路制造股份有限公司 感光材料和光刻方法
US20140065843A1 (en) * 2012-09-04 2014-03-06 Taiwan Semiconductor Manufacturing Company, Ltd Method of Forming a Photoresist Layer
CN103915494A (zh) * 2012-12-28 2014-07-09 台湾积体电路制造股份有限公司 FinFET的新型鳍结构
CN103926796A (zh) * 2013-01-02 2014-07-16 台湾积体电路制造股份有限公司 用于光刻的涂层材料和方法
US9146469B2 (en) * 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
CN104124273A (zh) * 2013-04-26 2014-10-29 台湾积体电路制造股份有限公司 具有应变缓冲层的mos器件及其形成方法
CN104241088A (zh) * 2013-06-09 2014-12-24 中芯国际集成电路制造(上海)有限公司 条形结构的形成方法

Also Published As

Publication number Publication date
US10517179B2 (en) 2019-12-24
TWI747942B (zh) 2021-12-01
US10863630B2 (en) 2020-12-08
US20180177055A1 (en) 2018-06-21
US20200146154A1 (en) 2020-05-07
TW201824345A (zh) 2018-07-01
CN108231550B (zh) 2021-10-26

Similar Documents

Publication Publication Date Title
US10845704B2 (en) Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
TWI476816B (zh) 自我對準間隔之多重圖案化方法
KR101439394B1 (ko) 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
US20080063976A1 (en) Photoresist Composition and Method Of Forming A Resist Pattern
WO2009012111A1 (en) Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
CN103547968A (zh) 在光刻应用中细化辐射敏感材料线的方法
US20200335349A1 (en) Method Composition and Methods Thereof
CN110416068A (zh) 半导体装置的形成方法
KR20130040845A (ko) 리소그래픽 도포에서 감방사선성 재료 라인을 슬림화하는 방법
TWI737856B (zh) 微影圖案化方法
CN110189986A (zh) 半导体装置结构的形成方法
US11112698B2 (en) Photoresist with gradient composition for improved uniformity
CN108231550A (zh) 半导体装置的制作方法
US11022886B2 (en) Bottom-up material formation for planarization
JP4566861B2 (ja) レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
JP2009105218A (ja) パターン形成方法
US11682559B2 (en) Method to form narrow slot contacts
CN117795647A (zh) 形成图案的方法
KR20240011641A (ko) 마스크 형성을 위한 이차 레지스트 표면 기능화를 이용하는패터닝 방법
JPH09260246A (ja) パターン形成方法および成膜装置
CN112670168A (zh) 半导体结构的形成方法、晶体管
KR100660280B1 (ko) 폴리실리콘 게이트 전극 형성 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant