JPH1063707A - 論理回路検証装置および論理回路検証方法 - Google Patents

論理回路検証装置および論理回路検証方法

Info

Publication number
JPH1063707A
JPH1063707A JP8233576A JP23357696A JPH1063707A JP H1063707 A JPH1063707 A JP H1063707A JP 8233576 A JP8233576 A JP 8233576A JP 23357696 A JP23357696 A JP 23357696A JP H1063707 A JPH1063707 A JP H1063707A
Authority
JP
Japan
Prior art keywords
circuit
corresponding point
information
logic
verification
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8233576A
Other languages
English (en)
Inventor
Hitoshi Kurosaka
均 黒坂
Hideyuki Emura
秀之 江村
Naotaka Maeda
直孝 前田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP8233576A priority Critical patent/JPH1063707A/ja
Priority to US08/911,060 priority patent/US5949691A/en
Publication of JPH1063707A publication Critical patent/JPH1063707A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【課題】論理回路の等価性検証において、大規模回路か
ら部分回路を自動抽出し、回路構成を考慮して比較する
部分回路を見つける論理検証方法及び装置の提供。 【解決手段】論理回路の等価性検証において、回路デー
タや必要に応じてライブラリを読み込み、テクノロジに
依存しない中間形式を出力するデータ入力部(101)
と、回路をどこで分割するか、分割した部分回路のう
ち、どれとどれを比較するのかの対応付けを決める情報
を、1又は複数のアルゴリズムを用いて抽出を行い、対
応点情報として出力する対応点検出部(102)と、対
応点情報や中間形式から回路を部分回路に分割し部分回
路データを出力する回路分割部(103)と、対応点情
報と部分回路データを読み込み、分割回路データを比較
し、その結果を対応点情報へ出力する比較検証部(10
4)と、を備える。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、論理回路検証装置
および論理回路検証方法に関する。
【0002】
【従来の技術】従来、2つの回路の等化性検証を行うに
は、まず、レジスタに注目し、回路を部分分割してい
た。
【0003】また、中間信号名情報を利用して、回路を
さらに部分分割し、レジスタ信号名情報や中間信号情報
から比較する部分回路を対応付け、対応付けた部分回路
ごとに等価性検証を行っていた。
【0004】レジスタ信号名情報や中間信号情報はユー
ザが指定が必要である。
【0005】この種の従来技術としては、例えば文献
(向山他、「論理検証システムCONDOR」、設計自
動化研究会63−3、第17−22頁、情報処理学会)
の記載が参照される。この従来技術のシステム構成を図
10に示す。
【0006】
【発明が解決しようとする課題】上記文献に述べられて
いる方法では、図10に示すように、レジスタと中間信
号で、論理照合部において、回路分割を行い、分割され
た部分回路について比較照合するが、分割回路のどれと
どれを比較するかを対応付けるルールがない。
【0007】また、従来の方式においては、回路構成を
考慮しないため、比較する分割回路の対応付けは人手で
指定する他ない。
【0008】したがって、本発明は、上記事情に鑑みて
なされたものであって、その目的は、比較する部分回路
の対応付けを1点1点人手で指定するのではなく、ルー
ルに基づき自動抽出するか、あるいは回路構成を考慮し
て自動的に抽出することを可能とし、これによりユーザ
の作業工数を削減するようにした、論理回路検証装置お
よび論理回路検証方法を提供することにある。
【0009】
【課題を解決するための手段】前記目的を達成するた
め、本発明の論理回路検証装置は、論理回路について回
路データやライブラリから回路情報を読み込み、該論理
回路をテクノロジに依存しない中間形式に変換出力する
手段と、前記論理回路を部分回路に分割する箇所、及び
分割した部分回路についてどれとどれを比較するかの対
応付けを決めるための対応点の情報を、1又は複数の対
応点検出アルゴリズムを用いて抽出し、対応点情報とし
て出力する対応点検出手段と、前記対応点情報及び前記
中間形式の回路情報に基づき前記論理回路を部分回路に
分割してなる部分回路データを出力する回路分割手段
と、前記部分回路データと前記対応点情報を参照して、
分割された回路データを比較検証し、その結果を出力す
る比較検証手段と、を備えたことを特徴とする。
【0010】本発明においては、前記対応点検出手段
が、対応点の抽出の際に、信号及び論理名などについて
名前の一致及び/又は名前の読み替え規則などのルール
を参照して、比較する対応点の情報を得ることを特徴と
する。
【0011】また、本発明においては、前記比較検証手
段が、比較検証結果を前記対応点情報に出力することを
特徴とする。
【0012】また、本発明の論理回路検証方法は、2つ
以上の論理回路の等化性検証を行う際に、該論理回路に
ついて回路データやライブラリから回路情報を読み込
み、該論理回路をテクノロジに依存しない中間形式に変
換し、該中間形式から1又は複数の対応点検出アルゴリ
ズムを用いて、比較する回路の外部出力端子及び/又は
回路内部のノードの対応点を抽出し、対応点情報及び前
記中間形式の回路について部分回路に分割し、前記対応
点情報と前記分割された部分回路とから比較する部分回
路の対応付けを行い、等化性検証を行うことを特徴とす
る。
【0013】また、本発明の論理回路検証方法において
は、前記対応点情報に基づき比較すべき回路の論理ノー
ドをトラバースすることにより回路構成を考慮して、回
路を部分分割し、等化性検証を行うことを特徴とする。
【0014】
【発明の実施の形態】本発明の実施の形態について図面
を参照して以下に説明する。
【0015】図1は、本発明の実施の形態の構成を示す
図である。図1を参照すると、本発明の第1の実施の形
態は、データ入力部101と、対応点検出部102と、
回路分割部103と、比較検証部104と、を備えて構
成されている。
【0016】対応点検出部102には、対応点を検出す
るための複数のアルゴリズムが格納されている。ここ
で、「対応点」とは、比較する回路の外部出力端子、ま
たは回路中の出力端子をいう。これらのアルゴリズム
(対応点検出アルゴリズム1〜N)は、入れ換えや、使
用/未使用の選択自在とされている。
【0017】対応点検出部102は、対応点アルゴリズ
ムで使用する対応ルール107、及び対応点を格納する
対応点情報108を備えている。
【0018】回路データ105は、VHDL(IEEE
1076)やVerilog−HDL(IEEE1364)な
どのハードウェア記述言語、EDIFなどのネットリス
トからなる。
【0019】ライブラリ110は、回路データ105の
中で使用されるマクロの論理情報が、上記ハードウェア
記述言語やネットリストで記述されたデータである。
【0020】また、中間形式106は、回路情報を格納
するためのデータである。
【0021】部分回路データ109は、回路分割部10
3によって中間形式106を部分回路に分割した結果フ
ァイルである。
【0022】中間形式106、対応点情報108、及び
部分回路データ109は一時的に記憶装置に格納され利
用される。
【0023】図2は、本発明の実施の形態の処理フロー
を説明するための流れ図である。図1及び図2の流れ図
を参照して、本発明の実施の形態の動作について説明す
る。
【0024】データ入力部101により、回路データ1
05が読まれる(ステップ201)。その際、回路デー
タ105において、ライブラリ中のマクロを使用してい
る場合には、ライブラリ110も同時に読み込まれ、回
路データ105の中でライブラリを使用している部分
は、読み込んだライブラリ110の論理情報により置き
換えられる。また、回路データ105の論理情報を抽出
し、中間形式106に出力する。
【0025】次に、対応点検出部102は、中間形式1
06を読み込み、対応点検出アルゴリズムを使用して、
回路の比較時に使用する対応点を検出し、対応点情報1
08に出力する(ステップ202)。その際、対応点検
出アルゴリズムが対応点を見つけるためにルールを使用
する場合は、対応ルール107から読み込む。また、複
数の対応点検出アルゴリズムを登録することも可能で、
必要に応じて使用するか否かを指定できる。
【0026】次に、回路分割部103は、中間形式10
6を読み込み、対応点情報108を参照しながら回路分
割を行い、部分回路データ109を出力する(ステップ
203)。
【0027】部分回路データ109が作成されると、比
較検証部4は、対応するデータを比較し、その結果を対
応点情報108へ書き込む(ステップ204)。比較検
証部4において、回路の比較には、例えばBDD(Bin
ary Decision Diagram)を用いた検証手法やATPG
(Automatic Test Pattern Generator)を用いてテ
ストパターンを生成し、シミュレーションを行う検証手
法などを用いる。
【0028】回路の全部分の検証が終るまで、比較検証
部104での検証が繰り返される。
【0029】次に、図3の流れ図を参照して、対応ルー
ルを用いた対応点検出アルゴリズムについて説明する。
【0030】まず、対応ルールを読み込み指定されたル
ールを解釈する(ステップ401)。次に、ルールに従
って回路データから対応する部分回路を抽出する(ステ
ップ402)。次に、対応点情報を出力する(ステップ
403)。全てのルールを適用したか否かを判定し(ス
テップ404)、適用していないルールがあればステッ
プ402に戻り、全て適用した場合は処理を終了する。
【0031】次に、図5を参照して、図1に示した対応
ルール107について説明する。
【0032】対応ルールは、ルール601、対応する場
所602、信号、論理の限定603からなる。
【0033】ルール601は、名前一致ルール604、
名前読み替えルール605からなる。このうち、名前一
致ルール604は、名前の文字列が一致する点を対応点
とするルールであり、また名前読み替えルール605
は、名前の文字列が一致しない場合でも、一定の規則の
もとに比較する回路の対応点を検索するためのルールで
ある。
【0034】対応する場所602は、ルールを適用する
箇所が、信号名であるか(図5の信号名606)、及び
/又は、インスタンス名(論理につけられたユニークな
名前)であるか(図5のインスタンス名607)を指定
する。
【0035】信号、論理の限定603は、ルールを適用
する場合を限定するためのもので、信号種別608、論
理種別609、特定信号610、特定インスタンス61
1からなる。
【0036】信号種別608は、回路の外部端子、内部
の端子の指定を行う。指定した信号種別、またはそれ以
外にルールを適用する。
【0037】論理種別609は、論理(AND、ORや
レジスタなど)の指定を行う。指定した論理、あるいは
指定した論理以外にルールを適用する。
【0038】特定信号610は、信号名の指定を行う。
指定した信号名、またはそれ以外にルールを適用する。
【0039】特定インスタンス611は、インスタンス
名の指定を行う。指定したインスタンス名、またはそれ
以外にルールを適用する。
【0040】対応する場所602はルール毎に指定可能
とされ、また信号、論理の限定603は対応する場所毎
に指定可能である。また、対応する場所602や信号、
論理の限定603は、その内容を動的に変更することも
可能である。
【0041】次に、図9を参照して、図1に示した対応
点情報108について詳細に説明する。
【0042】対応点情報108は、出力端子1005、
入力端子の組1006、対応点1007、及び比較検証
結果1008からなる。
【0043】出力端子1005は、回路分割をする際の
出力端子となる信号を保持する。出力端子1005に対
応する入力端子の組1006は、回路分割する際の入力
信号となる信号を保持する。対応点1007は、出力端
子1005と比較するもう1つの回路の対応点情報名と
比較対象となる出力端子を保持する。
【0044】比較検証結果1008は、2つの回路を比
較検証した後で、結果が一致したか否かを保持する。
【0045】上記した本発明の実施の形態をさらに詳細
に説明すべく、本発明の一実施例を以下に説明する。
【0046】まず、与えられた回路データを読み込み、
データ入力部101で中間形式106を生成する。
【0047】中間形式106は、図7に示すように、階
層情報801と回路情報802からなる。
【0048】階層情報801は、回路の階層情報関係
と、各階層に対応する回路情報802を指す。
【0049】各階層の論理情報は、回路情報802に格
納される。図7に示すように、回路情報802におい
て、論理の接続関係は、入力端子803、論理804、
出力端子805で表わされる。
【0050】入力端子、出力端子の名前は、信号名80
6より得る。論理につくインスタンス名はインスタンス
名807より得る。
【0051】信号種別、例えば外部入力ピンを知るため
に、信号種別808はそれぞれの種別ごとに信号名を指
している。
【0052】論理種別、例えばレジスタを知るために、
論理種別809はそれぞれの種別ごとにインスタンス名
を指している。
【0053】信号名806、インスタンス名807、信
号種別808、論理種別809は、対応ルール(図5参
照)の中で、規定されている対応場所602や信号、論
理の限定603から効率的に、信号や論理を検索するた
めに有効である。
【0054】データ入力部101から生成された中間形
式106と、対応ルール107を読み込み、対応点検出
部102で対応点の検出を行い、その結果を対応点情報
108に出力する。
【0055】図6は、本実施例における対応ルール10
7の一例(具体例)を示している。図6において、名前
一致ルール701は、外部入力端子、外部出力端子につ
いては名前が一致するものは全て対応点と見なすという
条件を示している。
【0056】まず、対応点検出部102は、中間形式1
06における信号種別808(図7参照)の外部出力端
子から信号名806をたどり、さらに信号名806から
出力端子805をたどり、出力端子805のテーブルイ
ンデックスを、対応点情報108の出力端子1005
(図9参照)に出力する。
【0057】図6を参照して、名前読み替えルール70
2は、論理がレジスタのインスタンスについて、AA0
0、AA01、…、AA19を、XX00、XX01、
…、XX19と対応付けることを示している。
【0058】この場合、対応点検出部102は、中間形
式106の論理種別809(図7参照)からインスタン
ス名807、インスタンス名から論理804をたどり、
さらに、論理804から出力端子805をたどり、出力
端子805のテーブルインデックスを対応点情報108
の出力端子1005(図9参照)に出力する。
【0059】図9を参照して、対応点1007には、比
較する回路の対応点情報ファイル名と、出力端子100
5のテーブルインデックスが書き込まれる。
【0060】全ての対応ルールが適用されると、出力端
子1005に記憶された信号から外部入力端子、または
別の出力端子1005に記憶された信号に行き着くま
で、入力方向へ検索を続ける。
【0061】行き着いた先の入力信号を入力端子の組1
006へ記憶する。
【0062】回路分割部103では、対応点情報109
を読み込み、出力端子1005(図9参照)と入力端子
の組1006(図9参照)の情報を利用して、回路を分
割し、部分回路データ109に出力する。
【0063】比較検証部104では、部分回路デー10
9タを読み込み、回路の比較にはBDD(Binary Dec
ision Diagram)を用いた検証手法や、ATPG(Aut
omatic Test Pattern Generator)を用いてテストパ
ターンを生成し、シミュレーションを行い検証を行う。
【0064】比較検証部104での検証結果は、対応点
情報の比較検証結果1008(図9参照)へ記憶する。
【0065】次に、本発明の第2の実施の形態について
説明する。図4は、本発明の実施の形態の処理フローを
説明するための流れ図である。図4を参照して、本発明
の第2の実施の形態における、回路構成を考慮した対応
点検出アルゴリズムの説明を行う。
【0066】図4を参照して、まず、比較する回路デー
タの外部入力端子(「PI」という)と、対応点情報に
格納されている出力端子で比較検証結果が一致している
出力端子(「仮PI」という)を読み込む(ステップ5
01)。
【0067】次に、PIおよび仮PIから回路の出力方
向へ論理ノード(AND、ORなどの論理の出力端子を
「論理ノード」という)を検索する(ステップ50
2)。
【0068】論理ノードの入力端子を参照して、入力端
子が1本の場合には、さらに出力方向へ論理ノードを検
索する(ステップ503、504)。
【0069】入力端子が複数であっても、他の入力端子
の信号値が、例えば“0”や“1”に固定されている場
合、同様にして、出力方向への検索を継続する(ステッ
プ503、504)。
【0070】そして、レジスタに行き着いた時は、そこ
で探索をやめる(ステップ503)。
【0071】次に、行き着いた論理ノードの出力端子
(「仮PO」という)から、回路の入力方向へたどり、
PIまたは仮PIに当たるまで探索する(ステップ50
5)。
【0072】そして、仮POを対応点情報108の出力
端子1005(図9参照)、仮PIの組合せを対応点情
報108の入力端子の組1006(図9参照)に記憶す
る(ステップ505)。
【0073】ステップ506の判定処理により、全ての
PI、仮PIに対して、ステップ502からステップ5
05の処理を繰り返す。
【0074】比較するすべての回路について上記処理を
繰り返す。すなわち、図4のステップ501′〜50
6′は、比較すべき別の回路についての処理フローを示
している。
【0075】次に、比較する回路同士で、仮POに対す
るPI、仮PIの組合せが一致するものを見つけて比較
を行う(ステップ507〜509)。
【0076】不一致の場合、不一致情報を出力する(ス
テップ510、511)。
【0077】次に、比較を終わった仮POを仮PIとし
て記憶する(ステップ512)。
【0078】ステップ513の判定処理により、全ての
仮POについて、ステップ507からステップ512の
処理を繰り返す。
【0079】全ての仮POについての検証が終った場
合、仮PIが新たに発生したかを調べる。仮PIが見つ
かった場合、最初に戻り処理を続ける(ステップ51
4)。
【0080】上記した本発明の第2の実施の形態をさら
に詳細に説明すべく、本発明の実施例を図面を参照して
以下に説明する。
【0081】図8に、本実施例を説明するための回路デ
ータの一例を示す。
【0082】まず、対応点検出部102は対応点情報1
08を読み込む。
【0083】ここで、図8に示した回路データにおい
て、仮に、端子Eが、出力端子1005(図9参照)に
記憶されていて、比較検証結果が一致しているものと仮
定すると、この端子Eを仮PIとみなせる。
【0084】次に、図7を参照して、中間形式の信号種
別808から信号名806をたどり、さらに入力端子8
03をたどり、外部入力端子A、B、C、D(図8参
照)をPIとする。
【0085】次に、PIの1つ「A」を取りだし、出力
方向へ論理ノードを検索し「G1」に行き着く(図8参
照)。
【0086】「G1」は入力端子が1つであるため出力
方向への探索を続け、論理ノード「G2」に達する(図
8参照)。
【0087】図8に示すように、「G2」は入力端子が
2つ備えているため、ここで探索を止める。「G2」の
出力端子「H」を仮POとする。
【0088】次に、「G2」から入力方向へ探索し、
「E」に行き着く。「E」は仮PIなのでここで探索を
止める。
【0089】「H」を対応点情報108の出力端子10
05(図9参照)、「A」と「E」を入力端子の組10
06(図9参照)に記憶する。
【0090】「B」、及び「C」は既に対応点情報10
8の入力端子1006の組に登録されているので何もせ
ず、残りのPIである「D」を探索し、「I」を対応点
情報108の出力端子1005(図9参照)、「D」と
「E」を入力端子の組1006(図9参照)に記憶す
る。
【0091】比較する回路についても同様の処理を行
い、対応点情報の中から、入力の組が一致するものを選
び、回路分割部103で回路分割を行う。
【0092】本実施例例では、「H」、「I」に対する
部分回路が生成される。同様に、比較対象となる回路に
ついても部分回路に分割する。
【0093】そして、比較検証部104で比較検証を行
う。
【0094】仮に、「H」、「I」と、これに対応する
比較対象の部分回路が見つかり、検証結果が一致したと
する。この場合、「H」、「I」を仮PIとする。
【0095】次に、新たに仮PIとなった「H」、
「I」について処理を行う。
【0096】まず、「H」から出力方向へたどり「G
3」へ行き着く。「G3」は入力端子は一つであるが、
「G3」の出力端子「F」は外部端子であるため、ここ
で探索を止め、「F」を対応点情報108の出力端子1
005(図9参照)、「H」を入力端子の組1006
(図9参照)に記憶する。
【0097】次に、「I」についても同様の処理を行
い、「G」を対応点情報108の出力端子1005(図
9参照)、Iを入力端子の組1006(図9参照)に記
憶する。
【0098】比較する回路についても同様の処理を行
い、対応点情報の中から、入力の組が一致するものを選
び、回路分割部103で回路分割を行い、さらに比較検
証部104で比較検証を行う。
【0099】また、「H」、「I」のうち、「I」で結
果が不一致だと仮定すると、「I」を対応点にしたのが
不適であったと判断し、再度、対応点を見つけにいく。
【0100】対応点「I」について出力方向へ探索を行
い、「G6」の出力「G」に行き着く。「G」を対応点
情報108の出力端子1005(図9参照)、「E」と
「D」を入力端子の組1006(図9参照)に記憶す
る。そして、比較検証を行い、結果を比較検証結果10
07(図9参照)へ格納する。
【0101】
【発明の効果】以上説明したように、本発明によれば、
レジスタなどの信号名が異る場合でも回路構成を考慮し
て、自動的に比較する部分回路を特定することが可能と
したことにより、比較する信号名をユーザが指定すると
いう作業工数を省くことができ、論理検証工程の効率化
を達成する。
【図面の簡単な説明】
【図1】本発明の実施の形態のシステム構成を示す図で
ある。
【図2】本発明の実施の形態の処理フローを説明するた
めのフローチャートである。
【図3】本発明の実施の形態における対応点検出部の処
理フローを説明するためのフローチャートである。
【図4】本発明の別の実施の形態における対応点検出部
の処理フローを説明するためのフローチャートである。
【図5】本発明の実施の形態における対応ルールの構成
を示す図である。
【図6】本発明の実施の形態における対応ルールの具体
例を示す図である。
【図7】本発明の実施の形態における中間形式の構成を
示す図である。
【図8】本発明の実施例を説明するための図であり、回
路データの具体例を示す図である。
【図9】本発明の実施の形態における対応点情報の一例
を示す図である。
【図10】従来の技術のシステム構成図である。
【符号の説明】
101 データ入力部 102 対応点検出部 103 回路分割部 104 比較検証部 105 回路データ 106 中間形式 107 対応ルール 108 対応点情報 109 部分回路データ 110 ライブラリ 601 ルール 602 対応する場所 603 信号、論理の限定 604 名前一致ルール 605 名前読み替えルール 606 信号名 607 インスタンス名 608 信号種別 609 論理種別 610 特定信号 611 特定インスタンス 701 名前一致ルールの一例 702 名前読み替えルールの一例 801 階層情報 802 回路情報 803 入力端子 804 論理 805 出力端子 806 信号名 807 インスタンス名 808 信号種別 809 論理種別 1005 出力端子 1006 入力端子の組 1007 対応点 1008 比較検証結果

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】論理回路について回路データやライブラリ
    から回路情報を読み込み、該論理回路をテクノロジに依
    存しない中間形式に変換出力する手段と、 前記論理回路を部分回路に分割する箇所、及び分割した
    部分回路についてどれとどれを比較するかの対応付けを
    決めるための対応点の情報を、1又は複数の対応点検出
    アルゴリズムを用いて抽出し、対応点情報として出力す
    る対応点検出手段と、 前記対応点情報及び前記中間形式の回路情報に基づき前
    記論理回路を部分回路に分割してなる部分回路データを
    出力する回路分割手段と、 前記部分回路データと前記対応点情報を参照して、分割
    された回路データを比較検証し、その結果を出力する比
    較検証手段と、 を備えたことを特徴とする論理回路検証装置。
  2. 【請求項2】前記対応点検出手段が、対応点の抽出の際
    に、信号及び論理名などについて名前の一致及び/又は
    名前の読み替え規則などのルールを参照して、比較する
    対応点の情報を得ることを特徴とする請求項1記載の論
    理回路検証装置。
  3. 【請求項3】前記比較検証手段が、比較検証結果を前記
    対応点情報に出力することを特徴とする請求項1記載の
    論理回路検証装置。
  4. 【請求項4】前記対応点情報が、回路分割の際の端子と
    なる出力端子の情報、該出力端子に対応する入力端子の
    組の情報、前記出力端子と比較される他の回路の対応点
    情報の格納手段の識別名と、比較対象となる出力端子と
    からなる対応点と、前記比較検証手段における比較対象
    の回路の比較検証の結果である一致/不一致の情報と、
    を含むことを特徴とする請求項1記載の論理回路検証装
    置。
  5. 【請求項5】前記回路分割手段が、前記対応点情報を読
    み込み、該対応点情報の出力端子と入力端子の組の情報
    から前記中間形式の回路を部分回路に分割することを特
    徴とする請求項1記載の論理回路検証装置。
  6. 【請求項6】前記対応点情報に基づき比較する回路の論
    理ノードをトラバースし、比較すべき回路の回路構成を
    考慮して、回路を部分回路に分割し、比較検証を行うこ
    とを特徴とする請求項1記載の論理回路検証装置。
  7. 【請求項7】比較する回路の外部入力端子(「PI」と
    いう)と、前記対応点情報に含まれる出力端子で比較検
    証結果が一致している出力端子(「仮PI」という)に
    ついて、前記外部入力端子から出力側へ論理ノードを探
    索し、複数の入力端又はレジスタに到達した際に、行き
    着いた論理ノードの出力端子(「PO」という)から入
    力側へ前記PI又は仮PIに達するまで探索し、比較す
    る回路について全てのPI及び仮PIについて上記処理
    を行い、前記比較する回路について仮POに対するP
    I、仮PIの組み合わせが一致するものを見つけて比較
    を行い、 回路構成を考慮して回路を部分回路に分割し、比較検証
    を行うことを特徴とする請求項1記載の論理回路検証装
    置。
  8. 【請求項8】2つ以上の論理回路の等化性検証を行う際
    に、該論理回路について回路データやライブラリから回
    路情報を読み込み、該論理回路をテクノロジに依存しな
    い中間形式に変換し、 該中間形式から1又は複数の対応点検出アルゴリズムを
    用いて、比較する回路の外部出力端子及び/又は回路内
    部のノードの対応点を抽出し、 対応点情報及び前記中間形式の回路について部分回路に
    分割し、 前記対応点情報と前記分割された部分回路とから比較す
    る部分回路の対応付けを行い、等化性検証を行うことを
    特徴とする論理回路検証方法。
  9. 【請求項9】前記対応点情報に基づき比較すべき回路の
    論理ノードをトラバースすることにより回路構成を考慮
    して、回路を部分分割し、等化性検証を行うことを特徴
    とする請求項8記載の論理回路検証方法。
  10. 【請求項10】2つ以上の回路の等化性検証を行う場合
    に、1つ以上のアルゴリズムを使用し、部分回路に分割
    し、比較する部分回路の対応付けを行い、等化性検証を
    行うことを特徴とする論理回路検証装置。
  11. 【請求項11】前記論理回路検証装置において、 回路構成を考慮して回路を部分分割し等化性検証を行う
    ことを特徴とする請求項10記載の論理回路検証装置。
JP8233576A 1996-08-15 1996-08-15 論理回路検証装置および論理回路検証方法 Pending JPH1063707A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP8233576A JPH1063707A (ja) 1996-08-15 1996-08-15 論理回路検証装置および論理回路検証方法
US08/911,060 US5949691A (en) 1996-08-15 1997-08-14 Logic circuit verification device to verify the logic circuit equivalence and a method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8233576A JPH1063707A (ja) 1996-08-15 1996-08-15 論理回路検証装置および論理回路検証方法

Publications (1)

Publication Number Publication Date
JPH1063707A true JPH1063707A (ja) 1998-03-06

Family

ID=16957241

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8233576A Pending JPH1063707A (ja) 1996-08-15 1996-08-15 論理回路検証装置および論理回路検証方法

Country Status (2)

Country Link
US (1) US5949691A (ja)
JP (1) JPH1063707A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7802211B2 (en) 2002-08-29 2010-09-21 Onespin Solutions Gmbh Method and device for verifying digital circuits
JP2011525276A (ja) * 2008-06-10 2011-09-15 オアシス ツーリング, インク. 製造ワークフローの為のチップデザインにおけるセルの整合性、変更、由来を独立評価する方法、及び、装置
JP2014081803A (ja) * 2012-10-17 2014-05-08 Renesas Electronics Corp 等価性検証装置および等価性検証方法
CN112364582A (zh) * 2020-11-30 2021-02-12 国微集团(深圳)有限公司 一种用于三态门电路验证的改进方法、系统及装置

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2917969B2 (ja) * 1997-06-06 1999-07-12 日本電気株式会社 論理等価性検証方法および論理等価性検証装置
JP2988521B2 (ja) * 1997-08-29 1999-12-13 日本電気株式会社 論理回路の自動合成方式
US6367065B1 (en) 1997-12-11 2002-04-02 Intrinsity, Inc. Method and apparatus for N-Nary logic circuit design tool with precharge circuit evaluation
US6289497B1 (en) 1997-12-11 2001-09-11 Intrinsity, Inc. Method and apparatus for N-NARY hardware description language
US6345381B1 (en) * 1997-12-11 2002-02-05 Intrinsity, Inc. Method and apparatus for a logic circuit design tool
US6219821B1 (en) 1998-04-03 2001-04-17 Chrysalis Symbolic Design, Inc. Computers systems and methods for verifying representations of a circuit design
US6308299B1 (en) * 1998-07-17 2001-10-23 Cadence Design Systems, Inc. Method and system for combinational verification having tight integration of verification techniques
US7216306B1 (en) 1999-03-24 2007-05-08 Zhe Li Checkpoint restart method using condensed partial results by determining boolean constant subsets
US6408424B1 (en) * 1999-06-04 2002-06-18 Fujitsu Limited Verification of sequential circuits with same state encoding
US6968286B1 (en) * 1999-07-28 2005-11-22 Lsi Logic Corporation Functional-pattern management system for device verification
US6557160B2 (en) * 1999-12-21 2003-04-29 Khalil Shalish Correlation of behavioral HDL signals
US6473884B1 (en) * 2000-03-14 2002-10-29 International Business Machines Corporation Method and system for equivalence-checking combinatorial circuits using interative binary-decision-diagram sweeping and structural satisfiability analysis
US6993740B1 (en) * 2000-04-03 2006-01-31 International Business Machines Corporation Methods and arrangements for automatically interconnecting cores in systems-on-chip
JP2001290859A (ja) * 2000-04-06 2001-10-19 Mitsubishi Electric Corp 論理検証方法及び装置
US6625789B2 (en) * 2000-04-14 2003-09-23 Hitachi, Ltd. Computer-readable medium for recording interface specifications
US6405351B1 (en) * 2000-06-27 2002-06-11 Texas Instruments Incorporated System for verifying leaf-cell circuit properties
US6536016B1 (en) * 2000-07-27 2003-03-18 Lsi Logic Corporation Method and apparatus for locating constants in combinational circuits
US6611947B1 (en) 2000-08-23 2003-08-26 Jasper Design Automation, Inc. Method for determining the functional equivalence between two circuit models in a distributed computing environment
US6993730B1 (en) 2001-01-10 2006-01-31 Tempus Fugit, Inc. Method for rapidly determining the functional equivalence between two circuit models
US6687882B1 (en) * 2002-01-31 2004-02-03 Synplicity, Inc. Methods and apparatuses for non-equivalence checking of circuits with subspace
US7076752B2 (en) * 2003-08-25 2006-07-11 Hewlett-Packard Development Company, L.P. System and method for determining unmatched design elements in a computer-automated design
US7032206B2 (en) * 2003-08-25 2006-04-18 Hewlett-Packard Development Company, L.P. System and method for iteratively traversing a hierarchical circuit design
US7073152B2 (en) * 2003-08-25 2006-07-04 Hewlett-Packard Development Company, L.P. System and method for determining a highest level signal name in a hierarchical VLSI design
US7062727B2 (en) * 2003-08-25 2006-06-13 Hewlett-Packard Development Company, L.P. Computer aided design systems and methods with reduced memory utilization
US20050050482A1 (en) * 2003-08-25 2005-03-03 Keller S. Brandon System and method for determining applicable configuration information for use in analysis of a computer aided design
US20050050492A1 (en) * 2003-08-25 2005-03-03 Keller S. Brandon Systems and methods for performing circuit analysis on a circuit design
US20050050483A1 (en) * 2003-08-25 2005-03-03 Keller S. Brandon System and method analyzing design elements in computer aided design tools
US20050050485A1 (en) * 2003-08-25 2005-03-03 Keller S. Brandon Systems and methods for identifying data sources associated with a circuit design
US20050050506A1 (en) * 2003-08-25 2005-03-03 Keller S. Brandon System and method for determining connectivity of nets in a hierarchical circuit design
US20050050503A1 (en) * 2003-08-25 2005-03-03 Keller S. Brandon Systems and methods for establishing data model consistency of computer aided design tools
US7058908B2 (en) * 2003-08-25 2006-06-06 Hewlett-Packard Development Company, L.P. Systems and methods utilizing fast analysis information during detailed analysis of a circuit design
US7086019B2 (en) * 2003-08-25 2006-08-01 Hewlett-Packard Development Company, L.P. Systems and methods for determining activity factors of a circuit design
US8819086B2 (en) * 2012-10-05 2014-08-26 Proplus Electronics Co., Ltd. Naming methodologies for a hierarchical system

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0727535B2 (ja) * 1986-03-19 1995-03-29 富士通株式会社 回路の照合方法
JPS63226770A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 論理照合方式
US5301318A (en) * 1988-05-13 1994-04-05 Silicon Systems, Inc. Hierarchical netlist extraction tool
US5243538B1 (en) * 1989-08-09 1995-11-07 Hitachi Ltd Comparison and verification system for logic circuits and method thereof
JPH05342301A (ja) * 1992-06-05 1993-12-24 Toshiba Corp 論理設計支援装置
JPH06162129A (ja) * 1992-11-20 1994-06-10 Nec Corp 論理回路検証装置
US5497334A (en) * 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
DE4423367A1 (de) * 1994-07-04 1996-07-25 Siemens Ag Verfahren zur hierarchischen Logik-Verifikation hochintegrierter Schaltungen
JPH0822485A (ja) * 1994-07-11 1996-01-23 Mitsubishi Electric Corp 論理等価性検証方法およびその装置
US5867396A (en) * 1995-08-31 1999-02-02 Xilinx, Inc. Method and apparatus for making incremental changes to an integrated circuit design
US5867395A (en) * 1996-06-19 1999-02-02 Lsi Logic Corporation Gate netlist to register transfer level conversion tool
US5754454A (en) * 1997-03-03 1998-05-19 Motorola, Inc. Method for determining functional equivalence between design models

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7802211B2 (en) 2002-08-29 2010-09-21 Onespin Solutions Gmbh Method and device for verifying digital circuits
JP2011525276A (ja) * 2008-06-10 2011-09-15 オアシス ツーリング, インク. 製造ワークフローの為のチップデザインにおけるセルの整合性、変更、由来を独立評価する方法、及び、装置
JP2014081803A (ja) * 2012-10-17 2014-05-08 Renesas Electronics Corp 等価性検証装置および等価性検証方法
CN112364582A (zh) * 2020-11-30 2021-02-12 国微集团(深圳)有限公司 一种用于三态门电路验证的改进方法、系统及装置

Also Published As

Publication number Publication date
US5949691A (en) 1999-09-07

Similar Documents

Publication Publication Date Title
JPH1063707A (ja) 論理回路検証装置および論理回路検証方法
US5691925A (en) Deriving tractable sub-system for model of larger system
JPH03156572A (ja) 論理回路比較検証システムおよび方法
CN109344230A (zh) 代码库文件生成、代码搜索、联结、优化以及移植方法
US5491639A (en) Procedure for verifying data-processing systems
US5586125A (en) Method for generating test vectors for characterizing and verifying the operation of integrated circuits
US7996200B2 (en) Transaction-based system and method for abstraction of hardware designs
JP2917969B2 (ja) 論理等価性検証方法および論理等価性検証装置
US7216321B2 (en) Pattern recognition in an integrated circuit design
CN112148359B (zh) 一种基于子块过滤的分布式代码克隆检测与搜索方法、系统及介质
Shobha et al. Comparison between code clone detection and model clone detection
Zhu et al. Towards evaluating fault coverage of protocol test sequences
KR20050065015A (ko) 프로그램의 복제 여부를 검사하는 방법 및 시스템
JP2853649B2 (ja) 論理シミュレーション用モデルの作成方法
JP2845173B2 (ja) 論理照合方式
JP2773657B2 (ja) 文字列検索装置
JPH0581110A (ja) インデクスフアイルの整合性自動検証方式
Hura et al. A graph-theoretic approach to expert-system testing
JPH01232436A (ja) 単一化候補項の選択装置
Kamath et al. Automatic integration of digital system requirements using schemata
Immerman Dyn-FO: A Parallel, Dynamic Complexity Class
JPH1185909A (ja) 住所認識方法
JPH09293089A (ja) 再利用設計支援方法
Hassan et al. Code Vision
JP3087699B2 (ja) 定型情報簡易入力システム及び定型情報簡易入力方法