JPH10309662A - ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法 - Google Patents

ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法

Info

Publication number
JPH10309662A
JPH10309662A JP3936098A JP3936098A JPH10309662A JP H10309662 A JPH10309662 A JP H10309662A JP 3936098 A JP3936098 A JP 3936098A JP 3936098 A JP3936098 A JP 3936098A JP H10309662 A JPH10309662 A JP H10309662A
Authority
JP
Japan
Prior art keywords
polishing
pulley
belt
flat surface
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3936098A
Other languages
English (en)
Other versions
JP3911082B2 (ja
Inventor
Albert Hu
アルバート・フー
Burford J Furman
バーフォード・ジェイ・ファーマン
Mohamed Abushaban
モハメッド・アブシャバン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of JPH10309662A publication Critical patent/JPH10309662A/ja
Application granted granted Critical
Publication of JP3911082B2 publication Critical patent/JP3911082B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/12Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving a contact wheel or roller pressing the belt against the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/06Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving members with limited contact area pressing the belt against the work, e.g. shoes sweeping across the whole area to be ground
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/8404Processes or apparatus specially adapted for manufacturing record carriers manufacturing base layers

Abstract

(57)【要約】 【課題】 様々な設置形態で配置されて設置面積が小
さく、かつ高スループットのベルト式研磨パッドを備え
た研磨装置及び方法を提供する。 【解決手段】 ウェハ、フラットパネルディスプレ
イ、及びハードディスクドライブ等の化学的機械的平坦
化加工のための研磨装置及び研磨方法。好適実施例の装
置は、床に対して垂直な向きに延在するループ式ベルト
を含む。このベルトの外側表面には研磨パッドが取着さ
れ、内側には複数のウェハ支持部が設けられる。研磨処
理前後のウェハの出し入れは、ハンドリング構造が行
う。電気モータ等が2つのプーリにかけられたループ式
ベルトを駆動し、ベルトがスムーズに走行できるように
ベルトの張りと位置を調節する調節手段が設けられる。
この装置はベルトの延在する向きを変えたり、複数の装
置を様々な配置形態で配置でき、装置の設置面積を節約
できる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体及びハード
ディスクドライブ研磨装置に関し、特に、ウェハ、フラ
ットパネルディスプレイ(FPD)、及びハードディス
クドライブ(HDD)製造に利用されるベルト式研磨パ
ッドを用いる化学的機械的平坦化(CMP)方法及び装
置であって、装置の設置占有面積(footprint)を小さ
くするとともに研磨加工のスループットを高めた化学的
機械的平坦化(CMP)方法及び装置に関する。
【0002】
【従来の技術】平坦化技術は、高速で高い計算能力を有
するCPUや高密度メモリチップのような新世代ULS
I(超大規模集積回路)デバイスの製造プロセスにおい
て重要な技術である。このようなデバイスは通例、金属
材料と絶縁材料とが交互に積み重ねられた多数の層を有
する。金属層はごく小さな間隔をおいて精密に形成され
た電気信号を伝送するための相互接続のためのラインか
らなる。このようなラインの幅は、今日のULSIデバ
イスでは0.5μm程度かそれより小さなものである。
絶縁層は電気的絶縁をなすと共に、金属の相互接続ライ
ンを機械的に支持する。典型的にはSiO2である絶縁
材料は、金属ライン間のスペースを埋める役目も果たし
ている。この金属ラインのそれぞれはリソグラフィー法
を用いて絶縁層上で「パターニング」または加工され
る。0.5μm未満の分解能でパターニングを行うため
のリソグラフィーでは、絶縁層が極めて平坦で、高さ方
向の凹凸が10Å台であることが必要である。このよう
な平坦さは、0.5μm未満の精度の光学的リソグラフ
ィー用露光ツールでは焦点深度(DOF)が浅いため
に、局部的にだけでなく、リソグラフィー処理を行うフ
ィールド全体に亘って要求されるのである。
【0003】高度なレベルのウェハの平坦化を達成する
のに用いられてきた従来技術では、ウェハにレーザーを
照射し、ウェハ上にガラス、樹脂または他のポリマーを
スピンコーティングによってコーティングし、BPSG
のような熱リフロー材料でウェハを処理する。別の方法
として、大気圧下でのTEOS−オゾン法や、ECRC
VD法や、各種処理用のツールを組み合わせたクラスタ
ツールを使用するティップ/エッチ/ディップ法のよう
な新しい絶縁物蒸着技術を用いて同じ目的を達成するこ
ともできる。しかし、このような従来の平坦化技術で
は、進歩したプロセスで要求される全体的な平坦化はで
きない。
【0004】CMPは非加工物全体に亘る高度な平坦性
の要求を満たす唯一の平坦化技術である。CMPプロセ
スは機械的な研磨と化学的なエッチングとの双方を用い
て基板から表面の材料を除去する処理である。CMPプ
ロセスが行われる場合、ウェハのような研磨を受ける基
板は、キャリア(ヘッド)に捕捉されており、研磨剤の
スラリーをしみ込ませた研磨パッドに押しつけられる。
たいていのCMP装置は、キャリアとパッドとの相対的
な回転運動、軌道運動、ラップ磨き、またはこれらの運
動の組み合わせを起こさせて、機械的な研磨を行うと共
に、スラリーに含まれるエッチング剤によって化学的な
エッチングがなされる。CMPは現在用いられている他
の平坦化技術を凌駕するレベルの平坦化を行えることが
実証されているが、この技術における制約は、スループ
ットが低いこと(典型的には1時間あたり20〜40ウ
ェハ)と、そのためにコストが嵩むことである。
【0005】CMPプロセスでの材料除去速度(若しく
は研磨速度)は、以下の半経験的に得られた関係式(プ
レストンの式)によって決定され得る。
【0006】
【数1】除去速度(Å/分)=K×P×VREL
【0007】ここでPは基板とパッドとの間の圧力であ
り、VRELは、基板上の任意の点とそれに対応するパ
ッド上の点との間の相対的な線速度であり、Kはスラリ
ーの化学的組成、プロセス温度、及びパッド表面によっ
て決定される定数である。従来のCMP装置では、ウェ
ハを捕捉するキャリアがパッドに押しつけられ、このパ
ッドは水平プラテンに装着されている。キャリアが回転
するだけでなくプラテンも回転し、これによってウェハ
上の全ての点に対してより均一な相対線速度(VRE
L)が与えられる。キャリアとプラテンとが同時に回転
することが必要である。というのは、このような形態に
しないと、VRELがウェハの中心では0となり、かつ
ウェハの縁の部分では最大になってしまうからである。
プレストンの式によれば、このことによってウェハの中
心では除去速度が極めて小さくなり、ウェハの縁の部分
では除去速度が極めて大きくなってしまう。
【0008】従って、ウェハ全体に亘って均一な除去速
度を得るために、VRELは基板上の全ての点において
均一でなければならないことは明らかである。この基板
はウェハの場合は円形で、FPDのものは矩形である。
従来のCMP装置は、プラテンの縁部分の近傍に取り付
けられるキャリアの大きさに合わせて十分なサイズを有
しており、このためウェハの中心の相対線速度はその縁
部分における相対線速度に近いものとなる。プラテンの
直径は、通常30〜36インチ(約76〜91cm)で
あり、回転速度は通常20〜40rpmである。CMP
プロセスにおいてウェハに加えられる圧力は、通常約7
psiである。1つの8インチ(約200mm)ウェハ
を上述の圧力でプラテンに押しつけると、加えられる力
は全体で352ポンド(約160kg)となる。しか
し、研磨されたウェハのミクロンレベルの精密さと均一
性を得るためには、回転するプラテン上での変形を最小
にしなければならない。このような目的を達成するため
には、様々な既存のCMP装置で用いられるプラテンは
非常に大きく嵩張るものである必要がある。
【0009】このサイズ上の制約の結果、上述のCMP
装置は、次第にサイズが大きくなる傾向にある新世代の
FPDやウェハ基板の研磨には適さないものとなり得
る。特に、次世代のULSIデバイスの製造に使用され
る12インチ(約300mm)ウェハを上述の圧力でプ
ラテンに押しつける場合には、792ポンド(約360
kg)の下向き圧力の負荷が、プラテン上にダイナミッ
クになってくる。この場合プラテンは現在の構成よりも
更に大きく嵩張るものにしなければならない。更に、ス
ループットを高めるべく同じプラテン上に複数のヘッド
が用いられる(例えば8インチ(約200mm)ウェハ
を把持する6個のヘッドを使用する場合)、プラテンに
かけられる下向き圧力は全体で2112ポンド(約95
8kg)まで高くなってしまう。12インチウェハを把
持する3つのヘッドがプラテンに押しつけられる場合に
は、全下向き圧力は2376ポンド(約1078kg)
に達し得る。更に、スループットを高めるために、プラ
テンの回転速度を高めなければならず、このことによっ
てもプラテンの支持部のサイズは更に大きくなってしま
う。
【0010】上述のCMPプロセス及び装置は、上述の
ように理想からはほど遠いものであり、実質的な改良が
必要である。
【0011】第1に、従来のCMP装置の主な問題点
は、その生産性に限界があることである。従来のCMP
装置で使用されているヘッド/プラテン機構から得られ
る最大の相対線速度は実際のところ150フィート/分
(約46m/分)程度であって、このような機構によっ
て達成され得る除去速度の最大値には限界がある。
【0012】第2に、現在のCMP研磨機の別の問題点
は、その大きな設置占有面積である。これは、このよう
な研磨機が水平方向に広がる大型のプラテンを備えてい
るからである。このような研磨機は、一般に25平方フ
ィート(2.3m2)以上の設置面積を占め、これによ
って現在のウェハ製造プラントにおける貴重な製造用ス
ペースは占有されてしまう。
【0013】第3に、上述のように、現在のCMP技術
で使用されているヘッド/プラテン機構は、将来的にそ
のサイズがより大きくなると見込まれている大型のウェ
ハ(例えば12インチ(約300mm)のウェハ)やF
PBの研磨には適さないという点である。軌道運動に従
って加わる位置が変わってくる大きな下向きの力に対抗
する安定性を備えたものとするためにはプラテンは極め
て嵩張るものとならざるをえない。
【0014】第4に、上述のように大型の基板全体に亘
る均一な相対線速度を得て基板全体に亘る均一な除去速
度を達成するためには、プラテンのサイズが大きくする
か、サイズを大きくしない場合にはプラテンを横切って
キャリアを動かす往復運動機構を用いることが必要とな
る。
【0015】従って、必要とされているのは、ウェハの
スループットが高く、装置の設置占有面積が小さく、大
型のウェハやFPBの研磨が行え、かつ研磨される基板
全体に亘る均一な除去速度が得られるような新規なCM
P装置である。
【0016】
【発明が解決しようとする課題】本発明の目的は、実質
的にスループットが高く、設置占有面積が小さく、基板
全体に亘って均一なより高速の相対速度(即ち600f
t/分(約183m/分))が得られ、既存のものより
よりコンパクトな機構で高度な平坦化が行えるような、
床に対する装置の向きを変えた様々な形態で設置できる
化学的機械的平坦化処理用のベルト式研磨装置を提供す
ることである。
【0017】本発明の別の目的は、従来の研磨機や研磨
方法を用いて取り扱うには不適切で望ましくないような
大きなサイズのウェハやFPDを研磨するのにより適す
るように設計された化学的機械的平坦化処理用のベルト
式研磨装置を提供することである。
【0018】本発明の更に別の目的は、現在の回転式プ
ラテンのサイズを大きくせずに高い相対速度を得ること
ができ、かつあまり嵩張らない構成のベルト式CMP装
置であって、現在の回転式プラテンで必要な大きくて嵩
張る支持部が不要の固定式ウェハ支持部を備えており、
このため装置を床に対して概ね垂直な方向に延びる形態
で設置し、装置の設置占有面積を15平方フィート
(1.4m2)程度に抑えることができるという利点を
有する化学的機械的平坦化処理用のベルト式研磨装置を
提供することである。
【0019】本発明の更に別の目的は、生産上の信頼性
を高め、機械のメンテナンスのための処理中断の頻度を
減らすとともに、高いスループットと品質の良い加工物
が得られて、この結果コストを低減することができるよ
うなベルト式ウェハ研磨装置を提供することである。
【0020】本発明の更に別の目的は、このようなベル
ト式研磨装置を用いた研磨方法を提供することである。
【0021】
【課題を解決するための手段】上述の目的は、本発明に
よる化学的機械的平坦化処理用の研磨装置(CMP装
置)によって実現される。このCMP装置は、ウェハの
ような基板の研磨されるべき表面上において均一な研磨
速度が得られ、既存の装置より小さな設置占有面積でよ
り高いスループットが得られる。好適実施例の装置は、
設置占有面積が小さくなる点で好適な床に対して概ね垂
直な方向に延在する形態のループ式のベルトを有する。
ベルトの外側表面には研磨パッドが貼着または取着され
る。ベルトの内側表面には、研磨プロセス中ウェハを支
持する複数のウェハ支持部が設けられる。ウェハは研磨
処理の前にロボット式のハンドリング構造を用いてウェ
ハステーションから取り出され、ウェハヘッドに装填さ
れ、研磨処理の後ウェハヘッドから取り外されてウェハ
ステーションに戻される。電気モータまたはそれに相当
する機構により、2つのプーリにかけられたループ式ベ
ルトが駆動される。スムーズなベルトの走行のためのベ
ルトの張りや位置の調節は、調節手段を用いて行われ
る。
【0022】本発明によるCMP装置は、研磨パッドを
貼着した回転式プラテンの代わりに研磨パッドを貼着し
たループ式ベルトを使用している。ウェハヘッドはウェ
ハを把持し、それをベルト及びウェハ支持部に押しつけ
る。複数のウェハヘッドの組が可動式ハンドリング構造
上に設けられており、これによってウェハの出し入れが
行うと共に、ウェハヘッドの組の1つに入っているウェ
ハが研磨されるようになっている。この新規なCMP装
置は、設置時に床に対する装置の延びる向きを変えて様
々な形態で設置することができる。工場内の空間を節約
するために複数の装置を異なる設置形態で設置すること
ができる。本発明により、このベルト式研磨機構の特徴
を利用する、高いスループットと小さな設置占有面積を
同時に実現するベルト式CMP装置を用いた研磨方法も
提供されている。
【0023】
【発明の実施の形態】図1、図2、及び図3を参照する
と、本発明による、床に対する向きを変えた様々な設置
形態をとれるベルト式CMP装置が、ループ式ベルト1
と、該ループ式ベルト1の外側表面に貼着された研磨パ
ッド1aと、ベース部29と、固定プーリ取付具5に押
さえられ、ブラシレスACモータ8や他の適当なモータ
に結合手段7によって結合された固定プーリ2を有して
いることが示されている。前記結合手段7はVベルトか
2重鎖の何れかであって、ベルト1を駆動するのに必要
な大きなトルクを支持するために適切なものであり得
る。様々な設置形態をとれるベルト式CMP装置は、調
節機構となる調節可能プーリ取付具21に押さえられた
調節可能プーリ6を更に有する。前記調節機構は、後に
説明する他の要素と共に調節可能プーリ6の1つの直線
運動と2つの回転運動を制御することにより、ベルト1
の張りを適切に調節し制御する。プーリ2及び6は、フ
ラット型プーリかフランジ付プーリの何れかであり得
る。フランジ付プーリを用いれば、フランジがベルトの
進行方向に対して直角横方向の位置の調節を行う助けと
なる。以下説明する好適実施例ではフラット型プーリを
用いている。
【0024】図10及び図11にも示されているよう
に、固定プーリ取付具5は上側部材5a及び下側部材5
b、両部材が一体的に結合している垂直部材5cを有
し、垂直部材5cは、上側部材5a及び下側部材5bの
側面とその長さの2分の1重なる形で両部材の間に挟ま
れて垂直方向に延びており、固定プーリ2が収められる
空間を画定している。固定プーリ取付具5は更に、シャ
フト3、2つの玉軸受17、及び複数のウェハ支持部2
3を有する。シャフト3は固定プーリ2を貫通し上述の
空間全体にわたって延在し、前記上側部材5a及び前記
下側部材5bのそれぞれに配設された第1及び第2の玉
軸受同士を結合しており、更に下側部材5b側の一端は
玉軸受を貫通して結合手段7に係合し、結合できるよう
になっている。このようにして固定プーリ2の駆動機構
が構成される。固定プーリ取付具5の下側部材5bは、
床に固定的に設置されるベース部29上にしっかりと固
定されるように取り付けられる。ウェハ支持部23はそ
れぞれ、垂直部材5cの前後の両側の部位に、ウェハシ
ャフトピン23bを補助的に用いてウェハシャフト23
aにより取り付けられる。このようにしてウェハ支持部
23は、ベルト1の内側表面に直接接触するように配設
される。ウェハシャフトピン23bは、垂直部材5cの
前後でなく横から着脱自在に取着でき、これによりウェ
ハ支持部23の組み立てや交換が容易に行えるようにな
っている。シャフトリミット23cは、ウェハ支持部2
3とウェハシャフト23aとの間に設けられ、研磨プロ
セス時にウェハシャフト23aが垂直部材5cの内部に
引っ込まないように止める役目を果たす。ウェハ支持部
23のサイズや形状は、研磨されるウェハ、デバイス、
他の基板、材料のサイズや形状に応じて様々に変えられ
得る。ウェハ支持部23のサイズは、ウェハ支持部23
の上に載せられるウェハの位置ずれが起こり得ることか
ら、それを補償するためにウェハのサイズより僅かに大
きくしておくのが普通である。
【0025】以下、図1、図2、図3、図7、図8、及
び図9を相互の関連を考慮して参照しつつ、上記の調節
機構について説明する。この調節機構は、支持体35
と、シャフト機構18と、2対の調節アーム24及び2
4aと、一対の調節ねじ20に係合する一対のウォーム
ギヤ28とを含む。支持体35は、その底部末端をベー
ス部29に固着されており、調節可能プーリ取付具21
を物理的に支持するとともに、シャフト機構18を保持
する役目を果たす。シャフト機構18は、図7及び図8
に示すように、研磨されたシャフト27、ピボットシャ
フト26、及びヨーク36からなる。ヨーク36は、2
つのサイドアーム36aと1つの横部材36bとを有
し、横部材36bは、2つのサイドアーム36aの間に
挟まれる形で両者と一体的に結合されており、研磨され
たシャフト27とピボットシャフト26とを一体に結合
して1つの物理的な単位要素を構成する。この物理的な
単位要素においては、研磨されたシャフト27の一端が
ヨーク36の横部材36bの下側に着脱自在に結合さ
れ、またピボットシャフト26はヨーク36の2つのサ
イドアーム36aの間に挟まれる形で横向きに結合さ
れ、凹部36cを形成している。この凹部36cによ
り、調節可能プーリ取付具21がシャフト機構18の調
節のための動きに応じてその周りをある程度自由に回動
できるようになっている。支持体35は、その中央部に
研磨された孔19を有しており、そこを通して研磨され
たシャフト27が支持体35を貫通し、支持体35と係
合するようになっている。一方、シャフト機構18のピ
ボットシャフト26も、調節可能プーリ取付具21と、
該取付具の中央部に設けられた孔37を通して係合して
おり、これによってシャフト機構18が2種類の調節可
能な動きをすることが可能となる。2種類の動きの一方
は、研磨された孔19によって定められた経路に沿って
ベルト1の延在する平面と同じ平面上を動く直線運動で
あり、他方は前記直線運動の軸線周りの回転運動であ
る。シャフト機構18は、ピボットシャフト26による
ベルト1の延在する平面に対して垂直な向きの軸線周り
の回動も可能で、これは後に説明するように一対のボー
ルねじ14及び一対のウォームギヤ28の連係により回
動が可能となっているのである。
【0026】第1の調節アームの対24は支持体35の
上側部分に固定されており、第2の調節アームaの対2
4aは支持体35の下側部分に固着されており、これに
よって支持体35上の調節アーム24、24aの取り付
け位置に対応する位置にくる調節可能プーリ取付具21
の上側部分及び下側部分のそれぞれの調節が可能となっ
ているのである。図2及び図12に示すように、調節ア
ームの各対24、24aは、第1アーム及び第2アーム
を有する。調節のための動きは、第1アームの底部の孔
に調節可能プーリ取付具21を付勢するように取着され
ているばね25と第2アームに取着された調節ねじ20
との連係による作用を用いて制御される。即ち、調節ね
じ20を回すことによりウォームギア28に力が加えら
れ、このギアが回って調節可能プーリ取付具21に対し
て前後に移動することができるのである。ばね25及び
ウォームギア28が、それぞれ各アームの互いに対向す
る位置に設けられていることから、ウォームギア28の
作用により調節可能プーリ取付具21は一方に回動し、
ばね25の力により逆方向に回動することになる。この
回動は、シャフト機構18の研磨されたシャフト27の
周りの回動である。この回動はごくわずかで、2°から
最大5°の範囲内の動きである。
【0027】図2、図3及び図13を相互の関連を考慮
して参照すると、調節可能プーリ取付具21は、一対の
玉軸受17を用いて調節可能プーリ6を保持しており、
前記玉軸受17はプーリシャフト9と結合しており、モ
ータ8を駆動したとき調節可能プーリ6がプーリシャフ
ト9の周りに自由に回転できるようになっている。調節
可能プーリ取付具21は、上側ブロック21aと下側ブ
ロック21bとを有し、この両者は着脱自在に垂直ブロ
ック21cに結合されている。この垂直ブロック21c
は、上側ブロック21a及び下側ブロック21bの側面
にそれら長さの1/2の分だけ重なる形で両者の間に挟
まれて垂直方向に延在しており、調節可能プーリ6を納
められる空間を画定している。上側ブロック21a及び
下側ブロック21bと垂直ブロック21cとの結合はね
じ21dによってなされている。このねじ21dは垂直
ブロックに着脱自在に結合されており、ベルト1の着脱
交換のための上側ブロック21a及び下側ブロック21
bの分解が容易に行えるようになっている。
【0028】図2、図13を参照すると、支持体35は
第1のボールナットの対15を更に有し、この第1のボ
ールナットの対15は、支持体35の研磨された孔19
の上下の位置でスラスト玉軸受16に取着されている。
このボールねじ14の対による調節可能プーリ取付具2
1の調節が行えるように、第2のボールナットの対15
aが、調節可能プーリ取付具21上の支持体35上の対
応物に対応する位置に設けられたスラスト玉軸受16a
に取り付けられている。このようにして、調節可能プー
リ取付具21は、シャフト機構18及びボールねじの対
14を通して支持体35に取り付けられる。ボールねじ
14のそれぞれは、尖った末端と平らな末端とを有す
る。図13に示すように、平らな末端は内側にくるねじ
付き部分14a及び固定ピン14bを有し、これによっ
て平らな末端のそれぞれは調節可能プーリ取付具21上
のボールナット15aの内側に固定的に取り付けられる
のである。ボールねじ14の尖った末端は、支持体35
上のボールナット15に調節可能な形でしっかりとねじ
留めされる。この2つのボールねじ14及びボールナッ
ト15は、その機能を損なうことなく反対向きに設置さ
れ得る。この2つのボールねじ4をシャフト機構18と
共に逆方向に個別に調節して、調節可能プーリ取付具2
1をベルト1の平面に対して垂直な軸線周りに回動させ
ることにより、調節可能プーリ取付具21の向きを調節
することができる。この他、2つのボールねじ14を同
一の方向に調節することによりベルト1の張りを強くし
たりゆるめたりする調節が可能である。
【0029】調節可能プーリ取付具21の調節には、以
下の2つの目的がある。第1に、この調節可能プーリ取
付具の調節により、ベルト1の横方向の位置の調節であ
るクロストラック調節が行える。第2に、これの調節に
より、ベルト1がいかなる円錐形の形状をとってもそれ
に関わらず、ベルト1の張りを均一に保つためのベルト
の張りの精密な制御や調節が行える。
【0030】ベルトの張りは、調節可能プーリ6を保持
する調節可能プーリ取付具21の直線方向の移動によっ
て調節される。上述のように調節可能プーリ取付具21
は、所望のベルトの張りを得るために様々な方法で調節
することができる。例えば、シャフト機構18に結合し
ているボールねじ14の作用により得られる調節機構を
この目的のために用いて、ベルトの両側の張りを等しく
することができる。2つのボールねじを個別に調節して
ベルト1の張りを調節した後、以下に述べるように2つ
の回転運動によりクロストラック調節が行われる。
【0031】このクロストラック調節は、調節可能プー
リ6のシャフト9周りの必要な回転運動と、ベルト1の
延在する平面に沿った調節可能プーリ6の直線運動に加
えて、2自由度の動き(即ち2本の互いに直交する軸線
周りの回動)を持たせることによって行われる。詳述す
ると、第1の回転軸の軸線は、直線運動の平面と同じ平
面上にあって調節可能プーリ6のシャフト9に対して垂
直な回転軸で、シャフト9の中点を通っている。第2の
回転軸の軸線は、前記第1の回転軸によって定められた
第1平面に対して垂直な平面上にあり、調節可能プーリ
6のシャフト9の中点を同様に通っている。第1の軸線
周りの回動は、研磨された孔19の内部に嵌合された研
磨されたシャフト27の円筒形部分の周りで起こる回動
である。このように、調節可能プーリ6は、3自由度の
調節運動、即ちベルト1により引き起こされる回転運動
に加えて、1つの直線運動及び2つの回転運動が行える
のである。
【0032】以下図1及び図3を再び参照しつつウェハ
支持部23について更に説明する。このウェハ支持部
は、上述のように、ウェハや研磨される半導体デバイス
の直径や形状によって決まる様々な異なるサイズ及び形
状を有し得る。本発明の好適実施例においては、ウェハ
支持部23は図10及び図11に示すように面取りされ
たエッジ部を備えた円盤形状のものである。ウェハ支持
部23はベルト式研磨パッドを平らな状態に維持して、
研磨されるウェハ上でのエッジ効果の生ずる可能性を低
くする助けとなる。このような設計を利用するために、
ウェハハンドリング構造40が、対応するウェハ支持部
23のベルトを挟んで正反対の位置のベルト1の外側表
面上に適宜配置または設置されており、これによってウ
ェハが捕捉され、パッド付き研磨ベルト1に押しつけら
れる。このウェハ支持部23は、研磨ベルト1に対して
垂直な軸線周りに回動したり、垂直部材5cに沿って上
下に移動することにより振動性の回転運動を行ったり、
または両者の動きを組み合わせた動きをするように設計
され得る。別の形態として、本発明の好適実施例によれ
ば、ウェハ支持部が固定プーリ取付具5の垂直部材5c
上に固定される。このような場合、研磨処理の間に必要
となる動きは、ループ式ベルト1の回転運動のみとな
る。
【0033】ここで図4、図5及び図6を参照しつつ、
ウェハハンドリング構造40について更に説明する。上
述のように、ウェハの装置へのロード及びウェハの装置
からのアンロードは、通常ウェハハンドリング構造40
を用いてなされる。ウェハハンドリング構造40は、ウ
ェハをローディングステーション及びアンローディング
ステーション(図示せず)に出し入れするものである。
ウェハハンドリング構造40は、フランジ付きベース部
43及びそこから延出した一対の平行なハンドリングア
ーム47を有する。各ハンドリングアームは、ウェハを
保持するための複数のウェハヘッド41を備えている。
ウェハヘッド41のそれぞれは、ハンドリングアーム4
7の内部構造として形成された加圧手段42に一体的に
結合される。この加圧手段は、ウェハハンドリング構造
40がフランジ付きベース部43の中央部に存在するシ
ャフトピボット44を貫通するレール、または生産ライ
ンに沿って移動している間は、ハンドリングアーム47
の内部に納められ得る。加圧手段は、ウェハハンドリン
グ構造40がウェハをロード若しくはアンロード時、ま
たはウェハの研磨加工中にハンドリングアームから延出
し突出した状態となり得る。加圧手段の機能は、研磨パ
ッド1bに押しつけられて研磨されるウェハに必要な力
を加えることである。ウェハは一部吸引力の助けを借り
てウェハヘッド41によって保持される。この吸引力は
ウェハヘッド41に直接吸引ライン45を通して与えら
れる。加圧手段42は、圧縮力を供給するために圧縮空
気ライン46に結合された圧縮シリンダであり得る。別
の形態として、加圧手段42は、電気で動くモータか、
研磨パッド1bに対して保持されているウェハに、水
力、または圧縮力を供給できる他の適当な手段であり得
る。本発明によれば、ウェハハンドリング構造40が回
転運動や横向き運動を必要としないことから、従来の研
磨装置において必要であった駆動モータ及び関連する複
雑な制御機構が不要となる。
【0034】ウェハは、装置の設置形態が装置の向きが
床に対して垂直に延びるような形態であるとき垂直位置
にハンドリングされる。CMP装置は床にしっかりと固
定される。ウェハハンドリング構造40は、研磨装置の
設置形態に応じて様々な形で研磨パッド付きベルト1に
向かって移動し得る。図6に示すようなトップローディ
ング式ウェハハンドリング構造40では、ウェハヘッド
41の、固定プーリ取付具5の垂直部材5cに沿った方
向の位置がウェハ支持部23と一致するまで、ハンドリ
ング構造40がCMP装置の上側から研磨ベルト1に向
かって動く。圧縮シリンダまたは他の加圧手段42は、
ウェハヘッド41がパッド付きベルト1に接触して、除
去する必要のある厚みに基づいて決められた約7psi
の圧力を与えるまで、ウェハヘッド41を、それが各ハ
ンドリングアーム47から離れる方向に動かすように伸
びる。1組のウェハが研磨される間に他のウェハの組
(または他の複数のウェハの組)をロードしたりアンロ
ードしたりすることが可能であることは、従来のCMP
プロセスの関連技術から考えられるであろう。更に、ウ
ェハヘッド41は、実質的に動くことがないハンドリン
グアーム47上に固定した状態にすることができ、また
は別の形態として、ウェハヘッド41が研磨ベルト1に
対して垂直な軸線周りに回転運動したり、あるいはハン
ドリングアーム47に沿って上下に移動することにより
振動的な回動を行うようにしたり、これらの運動を組み
合わせを行えるような形態で設けることができる。
【0035】この様々な設置形態で設置できるベルト式
CMP装置は、異なる研磨パッドを用いることにより、
一次研磨加工用にもタッチアップ段階の研磨加工用にも
使用することができる。一次研磨加工であれタッチアッ
プ段階の加工であれ、研磨加工が終了すると、圧縮シリ
ンダまたは他の加圧手段42が引き込まれ、ウェハが研
磨パッド付きベルトから離れる方向に動く。ここで、ウ
ェハは絶縁厚みの検出のため、または次の研磨加工段階
のためにモニタされる。ウェハは、ローディング及びア
ンローディングステーションに戻された後、最終的な製
品に仕上げるべく洗浄のためのクリーニングステーショ
ンに送られる。
【0036】ウェハ支持部の数、即ち本発明による複数
の設置形態をとれるベルト式CMP装置によって研磨加
工されるウェハの数は、研磨ベルト1のサイズ及び長さ
やウェハ支持部23のサイズに応じて変わってくる。例
えば、本発明はこれに限定されるものではないが図面に
示した実施例では、固定プーリ取付具5の一方の側にあ
る2つのウェハ支持部23は8インチウェハ用であり、
もう一方のウェハ支持部は12インチウェハ用である。
研磨ベルト1のサイズ及び長さを変えると、この複数の
設置形態をとれるベルト式CMP装置は、複雑な機械的
制御機構を追加することなく、同時により多くの数のウ
ェハ研磨加工を行うことができるようになる。従って、
本発明のCMP装置は、より小さいウェハの加工スルー
プットを高めるのみならず、より大きいウェハの加工に
も容易に適用できる柔軟性をも備えている。ウェハ支持
部23は並べて配置され(8インチウェハ用の場合)、
研磨加工中に1つのウェハが破損した場合に他のウェハ
が損傷を受けないようになっている。ウェハ支持部23
は、ウェハの直径よりわずかに大きく、これによってベ
ルトのたるみや曲がりを防いで、ウェハの表面がパッド
付きベルト1の表面と常に平行にある状態を確保できる
ようにしている。従来より良く知られている研磨パッド
コンディショナ(図示せず)は、ベルト1の前後に設け
られており(ベルトがプーリ2及び6を回る前の部
分)、研磨パッド1bに付着した粒子を取り除いたり、
次のウェハに向かって進んで行く前にベルトの状態を良
好にする役目を果たしている。
【0037】本発明によるCMP装置は、様々な設置形
態をとり得るということにより、CMP装置が固定され
得る設置面に関して様々な面の占有形態をとり得るとい
う利点を有する。CMP装置の設置時の形態は、研磨ベ
ルト1の(またはウェハ支持部23の)、CMP装置が
設置される床部に対する向きによって定められる。研磨
ベルト1が掛けられたプーリ向きが床に対して垂直な向
きでベルトが床と平行に走行する場合(図1参照)、装
置は垂直向きと称する。CMP装置の別の形態は、図1
7に示されているように、研磨ベルトが床に対して垂直
な方向に走行するような形態であり、研磨ベルトが掛け
られたプーリが床に対して水平である状態である。この
ような装置の形態を水平向きと称する。何れの場合に
も、CMP装置が垂直向きであれ、水平向きであれ、こ
れに関わりなく、本発明のCMP装置は設置面に対して
様々な設置形態で空間的に配置されうる。このとき、ウ
ェハまたは他の基板はハンドリング手段により、ベルト
式研磨手段1によって定められる研磨平面に対して一定
の向きをもって保持され、また前記研磨平面に対して垂
直なベクトルは重力加速度ベクトルに対して実質的に非
平行、好ましくは垂直となる。
【0038】本発明による複数の設置形態で設置できる
ベルト式CMP装置の利点は、図14〜図16の実施例
を参照することによりより良く理解されるであろう。こ
こでは、複数の設置形態をとりうるベルト式CMP装置
が複数個、空間を節約し、ウェハのロード及びアンロー
ドの効率を高め、異なるニーズや目的に適合するように
様々な配置形態で配置されている。即ち、図14に示す
のは積み重ね型配置、図15に示すのは並列型配置、ま
た図16に示すのは直列型配置である。
【0039】設置時に複数の設置形態をとり得るベルト
式CMP装置の、従来のロータリー式CMP装置と比較
した場合の利点は明らかである。第1に、ベルト1がウ
ェハの材料や必要な除去される厚みにも応じて10〜8
0ft/秒(3〜24m/秒)の範囲の速度で直線的に
移動する。この直線運動は均一で、ベルト上及びウェハ
上のいかなる点においても速度が等しい。このことによ
って、ウェハ上のいかなる点においても等しい研磨速度
が得られ、従来のロータリー式CMP装置において見ら
れた不都合なエッジ効果が除去される。第2に、複数の
設置形態をとり得るベルト式CMP装置は、ウェハを研
磨するために3つの回転運動の代わりに1つの直線運動
を用いており、これによってCMP装置の構造が著しく
単純化され、装置の製造コスト及びメンテナンスコスト
が低減し、動作時の信頼性が高められることになる。更
に、ウェハに均一な圧力を加えながら直線運動すること
により、ウェハが破損するリスクが低減し、特に値段の
高いより大型のウェハの加工に用いるのに適するものと
なる。更に、垂直向きの設置形態を取った場合ベルト式
CMP装置の設置占有面積(フットプリント)は劇的に
小さくなる。というのは、垂直向きの設置形態を取るた
めに必要な床面積が極めて小さいからである。このよう
な垂直向きで設置された装置は、直列型配置が可能なの
で、所定の時間に処理できるウェハの数が増加し、従来
のロータリーにCMP装置と比較して研磨加工において
高スループットが達成できることになる。
【0040】ベルト式CMP装置は、上述の実施例の
他、ウェハ、フラットパネルディスプレイ(FPD)、
ハードディスクドライブ(HDD)、ハードディスク磁
気ドライブ、または研磨処理が必要な平坦面を有する他
の物体または基板の研磨に使用することもできる。但し
発明の範囲はこれらの実施例に限定されるものではな
い。
【0041】また、上述の開示内容を参照することによ
り、本発明の範囲を逸脱することなく当業者は様々な改
変を加えた形態の本発明の実施が可能であろう。
【0042】
【発明の効果】以上より、本発明により、実質的にスル
ープットが高く、設置占有面積が小さく、基板全体に亘
って均一なより高速の相対速度が得られ、既存のものよ
りよりコンパクトな機構で高度な平坦化が行えるよう
な、床に対する装置の向きを変えた様々な形態で設置で
きる化学的機械的平坦化処理用のベルト式研磨装置が実
現できる。
【0043】また、本発明により、従来の研磨機や研磨
方法を用いて取り扱うには不適切で望ましくないような
大きなサイズのウェハやFPDを研磨するのにより適す
るように設計された化学的機械的平坦化処理用のベルト
式研磨装置が得られる。
【0044】更に、本発明により現在の回転式プラテン
のサイズを大きくせずに高い相対速度を得ることがで
き、かつあまり嵩張らない構成のベルト式CMP装置で
あって、現在の回転式プラテンで必要な大きくて嵩張る
支持部が不要の固定式ウェハ支持部を備えており、この
ため装置を床に対して概ね垂直な方向に延びる形態で設
置し、装置の設置占有面積を小さく抑えることができる
という利点を有する化学的機械的平坦化処理用のベルト
式研磨装置が得られる。
【0045】更に、本発明により、生産上の信頼性を高
め、機械のメンテナンスのための処理中断の頻度を減ら
すとともに、高いスループットと品質の良い加工物が得
られて、この結果コストを低減することができるような
ベルト式ウェハ研磨装置が得られる。
【0046】この他、本発明により、上述のようなベル
ト式研磨装置を用いた研磨方法が提供される。
【図面の簡単な説明】
【図1】本発明の好適実施例によるベルト式研磨パッド
を備えたCMP装置の模式図。
【図2】本発明の好適実施例によるベルト式研磨パッド
を備えたCMP装置の側面図。
【図3】本発明の好適実施例によるベルト式研磨パッド
を備えたCMP装置の平面図。
【図4】本発明によるハンドリング構造の平面図。
【図5】移動位置及び研磨位置にあるハンドリング構造
を示した図。
【図6】ベルト式研磨パッドに上からロードされるトッ
プローディング式ハンドリング構造を示した図。
【図7】直線運動及び回転運動を可能にするシャフト機
構の研磨シャフト及びピボットシャフトを示した図。
【図8】支持体上に取着されたシャフト機構を示した
図。
【図9】本発明の好適実施例による、調節可能プーリ取
付具の平面図及び側面図。
【図10】本発明による調節可能プーリ取付具の及びウ
ェハ支持部の平面図及び側面図。
【図11】本発明による調節可能プーリ取付具の及びウ
ェハ支持部の平面図及び側面図。
【図12】調節可能プーリ取付具を支持する取付具を示
した図。
【図13】直線運動及び回転運動を可能にするスラスト
玉軸受を示した図。
【図14】積み重ね型配置で配置された本発明の好適実
施例によるCMP装置の斜視図。
【図15】本発明の好適実施例による一連のCMP装置
群が並列型配置で配置されているところ示した斜視図。
【図16】本発明の好適実施例による一連のCMP装置
群が直列型配置で配置されているところを示した斜視
図。
【図17】本発明によるCMP装置群が水平向きの設置
形態で設置されているところを示した斜視図。
【符合の説明】
1 ループ式ベルト 1a 研磨パッド 2 固定プーリ 3 シャフト 5 固定プーリ取付具 5a 上側部材 5b 下側部材 5c 垂直部材 6 調節可能プーリ 7 結合手段 8 ACモータ 9 プーリシャフト 14 ボールねじの対 15 第1のボールナットの対 15a 第2のボールナットの対 16 スラスト玉軸受 17 玉軸受 18 シャフト機構 19 研磨された孔 20 調節ねじの対 21 調節可能プーリ取付具 21a (調節可能プーリ取付具の)上側ブロック 21b (調節可能プーリ取付具の)下側ブロック 21c (調節可能プーリ取付具の)垂直ブロック 21d ねじ 23 ウェハ支持部 23a ウェハシャフト 23b ウェハシャフトピン 23c シャフトリミット 24 第1の調節アームの対 24b 第2の調節アームの対 25 ばね 26 ピボットシャフト 27 研磨されたシャフト 28 ウォームギヤ 29 ベース部 35 支持体 36 ヨーク 36a (ヨークの)サイドアーム 36b (ヨークの)横部材 36c (ヨークの)凹部 37 孔 40 ウェハハンドリング構造 41 ウェハヘッド 42 加圧手段 43 フランジ付ベース部 44 シャフトピボット 45 吸引ライン 46 圧縮空気ライン 47 ハンドリングアーム
フロントページの続き (71)出願人 598023403 モハメッド・アブシャバン Mohamed Abushaban アメリカ合衆国カリフォルニア州95121・ サンノゼ・ブエナクレストコート 2890 (72)発明者 アルバート・フー アメリカ合衆国カリフォルニア州95131・ サンノゼ・ブライアーポートドライブ 1602 (72)発明者 バーフォード・ジェイ・ファーマン アメリカ合衆国カリフォルニア州94043・ マウンテンビュー・リチャードコート 2473 (72)発明者 モハメッド・アブシャバン アメリカ合衆国カリフォルニア州95121・ サンノゼ・ブエナクレストコート 2890

Claims (91)

    【特許請求の範囲】
  1. 【請求項1】 平坦面を有する物体の研磨のための装
    置であって、 前記平坦面を研磨するための研磨手段と、 前記研磨手段を回転させるための前記研磨手段に結合さ
    れた駆動手段と、 前記研磨手段を保持するための保持手段と、 前記物体の研磨のために前記研磨手段に前記物体を押し
    つけるハンドリング手段とを有することを特徴とし、 前記装置がそれが固定される設置面に対して様々な設置
    形態で空間的に配置され、該装置において、前記ハンド
    リング手段により前記物体の前記平坦面が研磨平面に関
    連するように保持されており、前記研磨手段により、前
    記研磨平面に対する垂直ベクトルが重力加速度ベクトル
    に対して実質的に非平行となるように前記研磨平面が定
    められていることを特徴とする平坦面を有する物体の研
    磨装置。
  2. 【請求項2】 前記保持手段が固定されるベース部を
    更に含むことを特徴とする請求項1に記載の装置。
  3. 【請求項3】 前記研磨手段が外側表面に研磨パッド
    を取着したループ式ベルトを含むことを特徴とする請求
    項1に記載の装置。
  4. 【請求項4】 前記物体が半導体材料であることを特
    徴とする請求項1に記載の装置。
  5. 【請求項5】 前記半導体材料がウェハ若しくはフラ
    ットパネルディスプレイであることを特徴とする請求項
    4に記載の装置。
  6. 【請求項6】 前記物体が磁気データ記憶材料である
    ことを特徴とする請求項1に記載の装置。
  7. 【請求項7】 前記磁気データ記憶材料がハードディ
    スクドライブであることを特徴とする請求項6に記載の
    装置。
  8. 【請求項8】 前記保持手段が第1プーリ及び第2プ
    ーリを含み、前記第1プーリが前記駆動手段によって駆
    動されて、これにより前記ループ式ベルトが前記第1プ
    ーリと前記第2プーリの周りで走行することを特徴とす
    る請求項1に記載の装置。
  9. 【請求項9】 前記保持手段が、前記第1プーリ及び
    前記第2プーリをそれぞれ保持する第1プーリ取付具及
    び第2プーリ取付具を更に含むことを特徴とする請求項
    8に記載の装置。
  10. 【請求項10】 前記第1プーリ取付具が、その前記
    ループ式ベルトを挟んで前記ハンドリング手段の反対側
    の前記ループ式ベルトの内側表面に対向する各面に着脱
    自在に取り付けられた複数のウェハ支持部を更に有し、
    これにより研磨される前記物体が反対側から支持される
    ことを特徴とする請求項9に記載の装置。
  11. 【請求項11】 前記ウェハ支持部の数及び直径を様
    々に変えることが可能であることを特徴とする請求項1
    0に記載の装置。
  12. 【請求項12】 前記第1プーリ取付具が前記ベース
    部上に固定されていることを特徴とする請求項9に記載
    の装置。
  13. 【請求項13】 前記第2プーリ取付具が、前記ルー
    プ式ベルトの張りを制御するために前記第2プーリ取付
    具及び前記第2プーリを調節するプーリ調節手段を有す
    ることを特徴とする請求項9に記載の装置。
  14. 【請求項14】 前記プーリ調節手段が、 前記ループ式ベルトにより定められた平面に沿った前記
    第1プーリ取付具と前記第2プーリ取付具との間隔を前
    記第2プーリ取付具を直線方向に移動することにより調
    節する第1制御手段と、 前記ループ式ベルトにより定められる平面に対して垂直
    な軸線周りに前記第2プーリ取付具を回動するように動
    かして制御する第2制御手段と、 前記直線方向の移動の方向に延びる軸線周りに前記第2
    プーリ取付具を回動させて調節する第3制御手段とを含
    むことを特徴とする請求項13に記載の装置。
  15. 【請求項15】 前記プーリ調節手段が複数の取り付
    け孔を有する支持手段を更に有し、前記第1制御手段、
    前記第2制御手段、及び前記第3制御手段が前記支持手
    段に前記取り付け孔を介して着脱自在に取り付けられる
    ように前記支持手段が前記ベース部上に固定されている
    ことを特徴とする請求項14に記載の装置。
  16. 【請求項16】 前記第1制御手段が、丸い研磨され
    たシャフト、丸いピボットシャフト、及びヨークからな
    るシャフト機構を含むことを特徴とし、 前記研磨されたシャフトが前記ヨークの一端の下側に固
    定的に結合され、かつ前記ピボットシャフトが前記ヨー
    クの別の末端に着脱自在に結合されて前記シャフト機構
    が形成されており、更に前記研磨されたシャフトが摺動
    自在に前記支持手段の前記取り付け孔の1つに取り付け
    られ、かつ前記ピボットシャフトが摺動自在に前記プー
    リ取付具の取り付け部位に結合されて、これにより前記
    第1プーリ取付具からの距離を調節するための前記第2
    プーリ取付具の前記直線方向の移動を制御していること
    を特徴とする請求項14に記載の装置。
  17. 【請求項17】 前記第2制御手段が、2つの独立し
    て調節可能なボールねじ及びボールナットを含み、両者
    がそれぞれの一端が確実かつ調節可能な形態で前記支持
    手段に前記取り付け孔を介して結合され、それぞれの他
    端は前記第2プーリ取付具に結合されており、これによ
    り前記ループ式ベルトの平面に対して垂直な軸線周りの
    前記第2プーリ取付具の回動が制御されることを特徴と
    する請求項14に記載の装置。
  18. 【請求項18】 前記2つの独立して調節可能なボー
    ルねじ及びボールナットが、同じ方向または反対方向に
    調節可能であることを特徴とする請求項17に記載の装
    置。
  19. 【請求項19】 前記第3制御手段が、一対の独立し
    た調節手段を含み、前記独立した調節手段のそれぞれの
    一端が前記支持手段に固定され、それぞれの他端は確実
    かつ調節可能な形態で前記第2プーリ取付具に取り付け
    られて、これにより前記第2プーリ取付具の前記直線方
    向の移動の方向に延びる軸線周りの回動が制御されるこ
    とを特徴とする請求項14に記載の装置。
  20. 【請求項20】 前記独立した調節手段のそれぞれ
    が、 前記第2プーリ取付具の両側を保持することによって互
    いに離された一対の対向する調節アームと、 第1の調節アームに設けられた調節ねじが係合したウォ
    ームギアと、 第2の調節アームの前記ウォームギアの反対側に設けら
    れた底部を有する孔に配設されたばねとを有し、 前記ばねが前記調節ねじに対する抗力を与えており、前
    記調節ねじを調節することによって第2プーリ取付具を
    締めたり緩めたりできることを特徴とする請求項19に
    記載の装置。
  21. 【請求項21】 前記ハンドリング手段が、一対の対
    向するアームが延びているベース部材を有し、前記アー
    ムのそれぞれがウェハをその研磨のために保持する複数
    のウェハキャリアを有していることを特徴とする請求項
    1に記載の装置。
  22. 【請求項22】 前記ハンドリング構造が、ウェハを
    前記研磨パッドに近づけたり離したりして、ウェハを前
    記研磨パッドに押しつける力を付与する加圧手段を含む
    ことを特徴とする請求項21に記載の装置。
  23. 【請求項23】 前記加圧手段が液体シリンダである
    ことを特徴とする請求項22に記載の装置。
  24. 【請求項24】 前記加圧手段が電気モータであるこ
    とを特徴とする請求項22に記載の装置。
  25. 【請求項25】 前記駆動手段が電気モータであるこ
    とを特徴とする請求項1に記載の装置。
  26. 【請求項26】 前記設置面が床であって、その床の
    上に前記装置が前記研磨平面に対する垂直ベクトルが重
    力加速度ベクトルに対して垂直で、前記装置の設置占有
    面積を小さくなるような空間的形態で設置されることを
    特徴とする請求項1に記載の装置。
  27. 【請求項27】 前記設置面が床に対して鉛直な壁で
    あって、前記研磨平面に対する垂直ベクトルが重力加速
    度ベクトルに対して垂直で、前記装置の床上での設置占
    有面積が小さくなるようにされていることを特徴とする
    請求項1に記載の装置。
  28. 【請求項28】 前記ウェハ支持部が、前記研磨手段
    の回転する動きに対して固定されていることを特徴とす
    る請求項11に記載の装置。
  29. 【請求項29】 前記ウェハ支持部が前記研磨手段の
    回転する動きに対して回転することを特徴とする請求項
    11に記載の装置。
  30. 【請求項30】 前記ウェハ支持部が前記研磨手段の
    回転する動きに対して振幅運動することを特徴とする請
    求項11に記載の装置。
  31. 【請求項31】 前記ハンドリング構造が吸引力源を
    有し、前記ウェハキャリアが吸引によってウェハを保持
    できるようにしていることを特徴とする請求項21に記
    載の装置。
  32. 【請求項32】 平坦面を有する物体の研磨のための
    装置であって、 前記平坦面上の任意の点で均一の研磨速度を与える、外
    側表面に取着された研磨パッドを有するループ式ベルト
    と、 前記ループ式ベルトを回転すべく前記ループ式ベルトに
    結合された駆動手段と、 前記ループ式ベルトを保持し、かつ前記ループ式ベルト
    の調節のための調節機構となるプーリアセンブリと、 前記物体を、その研磨のために前記ループ式ベルトに押
    しつける形で保持するハンドリング手段とを有すること
    を特徴とし、 前記装置がそれが固定される設置面に様々な設置形態で
    空間的に配置され、該装置において、前記ハンドリング
    手段により前記物体の前記平坦面が研磨平面に関連する
    ように保持されており、前記ループ式ベルトにより、前
    記研磨平面に対する垂直ベクトルが重力加速度ベクトル
    に対して実質的に非平行となるように前記研磨平面が定
    められていることを特徴とする平坦面を有する物体の研
    磨のための装置。
  33. 【請求項33】 前記プーリアセンブリの一部分が固
    定されるベース部を更に有することを特徴とする請求項
    32に記載の装置。
  34. 【請求項34】 前記物体が半導体材料であることを
    特徴とする請求項32に記載の装置。
  35. 【請求項35】 前記半導体材料がウェハ若しくはフ
    ラットパネルディスプレイであるとを特徴とする請求項
    34に記載の装置。
  36. 【請求項36】 前記物体が磁気データ記憶材料であ
    ることを特徴とする請求項32に記載の装置。
  37. 【請求項37】 前記磁気データ記憶材料がハードデ
    ィスクドライブであることを特徴とする請求項36に記
    載の装置。
  38. 【請求項38】 前記プーリアセンブリが第1プーリ
    及び第2プーリを含み、前記第1プーリが前記駆動手段
    によって駆動されて、これにより前記ループ式ベルトが
    前記第1プーリと前記第2プーリの周りで走行すること
    を特徴とする請求項32に記載の装置。
  39. 【請求項39】 前記プーリアセンブリが、前記第1
    プーリと第2プーリとをそれぞれ保持する第1プーリ取
    付具及び第2プーリ取付具を更に含むことを特徴とする
    請求項38に記載の装置。
  40. 【請求項40】 前記第1プーリ取付具が、その前記
    ループ式ベルトを挟んで前記ハンドリング手段の反対側
    の前記ループ式ベルトの内側表面に対向する各面に着脱
    自在に取り付けられた複数のウェハ支持部を更に有し、
    これにより研磨される前記物体が反対側から支持される
    ことを特徴とする請求項39に記載の装置。
  41. 【請求項41】 前記ウェハ支持部の数及び直径を様
    々に変えることが可能であることを特徴とする請求項4
    0に記載の装置。
  42. 【請求項42】 前記第1プーリ取付具が前記ベース
    部上に固定されていることを特徴とする請求項41に記
    載の装置。
  43. 【請求項43】 前記第2プーリ取付具が、前記ルー
    プ式ベルトの張りを制御するために前記第2プーリ取付
    具及び前記第2プーリを調節するプーリ調節手段を含む
    ことを特徴とする請求項42に記載の装置。
  44. 【請求項44】 前記プーリ調節手段が、 前記ループ式ベルトにより定められた平面に沿った前記
    第1プーリ取付具と前記第2プーリ取付具との間隔を前
    記第2プーリ取付具を直線方向に移動することにより調
    節する第1制御手段と、 前記ループ式ベルトにより定められる平面に対して垂直
    な軸線周りに前記第2プーリ取付具を回動するように動
    かして制御する第2制御手段と、 前記直線方向の移動の方向に延びる軸線周りに前記第2
    プーリ取付具を回動させて調節する第3制御手段とを含
    むことを特徴とする請求項43に記載の装置。
  45. 【請求項45】 前記プーリ調節手段が複数の取り付
    け孔を有する支持手段を更に有し、前記第1制御手段、
    前記第2制御手段、及び前記第3制御手段が前記支持手
    段に前記取り付け孔を介して着脱自在に取り付けられる
    ように前記支持手段が前記ベース部上に固定されている
    ことを特徴とする請求項44に記載の装置。
  46. 【請求項46】 前記第1制御手段が、丸い研磨され
    たシャフト、丸いピボットシャフト、及びヨークからな
    るシャフト機構を含むことを特徴とし、 前記研磨されたシャフトが前記ヨークの一端の下側に固
    定的に結合され、かつ前記ピボットシャフトが前記ヨー
    クの別の末端に着脱自在に結合されて前記シャフト機構
    が形成されており、更に前記研磨されたシャフトが摺動
    自在に前記支持手段の前記取り付け孔の1つに取り付け
    られ、かつ前記ピボットシャフトが摺動自在に前記プー
    リ取付具の取り付け部位に結合されて、これにより前記
    第1プーリ取付具からの距離を調節するための前記第2
    プーリ取付具の前記直線方向の移動を制御していること
    を特徴とする請求項44に記載の装置。
  47. 【請求項47】 前記第2制御手段が、2つの独立し
    て調節可能なボールねじ及びボールナットを含み、両者
    がそれぞれの一端が確実かつ調節可能な形態で前記支持
    手段に前記取り付け孔を介して結合され、それぞれの他
    端は前記第2プーリ取付具に結合されており、これによ
    り前記ループ式ベルトの平面に対して垂直な軸線周りの
    前記第2プーリ取付具の回動が制御されることを特徴と
    する請求項44に記載の装置。
  48. 【請求項48】 前記2つの独立して調節可能なボー
    ルねじ及びボールナットが、同じ方向または反対方向に
    調節可能であることを特徴とする請求項47に記載の装
    置。
  49. 【請求項49】 前記第3制御手段が、一対の独立し
    た調節手段を含み、前記独立した調節手段のそれぞれの
    一端が前記支持手段に固定され、それぞれの他端は確実
    かつ調節可能な形態で前記第2プーリ取付具に取り付け
    られて、これにより前記第2プーリ取付具の前記直線方
    向の移動の方向に延びる軸線周りの回動が制御されるこ
    とを特徴とする請求項44に記載の装置。
  50. 【請求項50】 前記独立した調節手段のそれぞれ
    が、 前記第2プーリ取付具の両側を保持することによって互
    いに離された一対の対向する調節アームと、 第1の調節アームに設けられた調節ねじが係合したウォ
    ームギアと、 第2の調節アームの前記ウォームギアの反対側に設けら
    れた底部を有する孔に配設されたばねとを有し、 前記ばねが前記調節ねじに対する抗力を与えており、前
    記調節ねじを調節することによって第2プーリ取付具を
    締めたり緩めたりできることを特徴とする請求項49に
    記載の装置。
  51. 【請求項51】 前記ハンドリング手段が、一対の対
    向するアームが延びているベース部材を有し、前記アー
    ムのそれぞれがウェハをその研磨のために保持する複数
    のウェハキャリアを有していることを特徴とする請求項
    32に記載の装置。
  52. 【請求項52】 前記ハンドリング構造が、ウェハを
    前記研磨パッドに近づけたり離したりして、ウェハを前
    記研磨パッドに押しつける力を付与する加圧手段を含む
    ことを特徴とする請求項51に記載の装置。
  53. 【請求項53】 前記加圧手段が液体シリンダである
    ことを特徴とする請求項52に記載の装置。
  54. 【請求項54】 前記加圧手段が電気モータであるこ
    とを特徴とする請求項52に記載の装置。
  55. 【請求項55】 前記駆動手段が電気モータであるこ
    とを特徴とする請求項52に記載の装置。
  56. 【請求項56】 前記設置面が床であって、その床の
    上に前記装置が前記研磨平面に対する垂直ベクトルが重
    力加速度ベクトルに対して垂直で、前記装置の設置占有
    面積を小さくなるような空間的形態で設置されることを
    特徴とする請求項32に記載の装置。
  57. 【請求項57】 前記設置面が床に対して鉛直な壁で
    あって、前記研磨平面に対する垂直ベクトルが重力加速
    度ベクトルに対して垂直で、前記装置の床上での設置占
    有面積が小さくなるようにされていることを特徴とする
    請求項32に記載の装置。
  58. 【請求項58】 前記ハンドリング構造が吸引力源を
    有し、前記ウェハキャリアが吸引によってウェハを保持
    できるようにしていることを特徴とする請求項51に記
    載の装置。
  59. 【請求項59】 平坦面を有する物体を研磨する方法
    であって、 研磨手段に安定的に接触した状態で前記物体を保持する
    ハンドリング手段に前記物体を設置する過程と、 前記物体に対して所望の研磨速度が得られるまで十分な
    時間をかけて前記平坦面を研磨するために駆動手段によ
    って前記研磨手段を回転させる過程とを有することを特
    徴とし、 前記研磨手段が設置面に固定的に設置されており、前記
    ハンドリング手段により前記物体の前記平坦面が研磨平
    面に関連するように保持されており、前記研磨手段によ
    り、前記研磨平面に対する垂直ベクトルが重力加速度ベ
    クトルに対して実質的に非平行となるように前記研磨平
    面が定められていることを特徴とする平坦面を有する物
    体の研磨方法。
  60. 【請求項60】 前記研磨手段が研磨パッドをその表
    面に取着したループ式ベルトを含むことを特徴とする請
    求項59に記載の平坦面を有する物体の研磨方法。
  61. 【請求項61】 前記ハンドリング手段が、前記物体
    を前記研磨手段に確実に接触した形で保持し、前記平坦
    面上の任意の点で均一な研磨速度を達成するハンドリン
    グ構造であることを特徴とする請求項60に記載の平坦
    面を有する物体の研磨方法。
  62. 【請求項62】 前記ハンドリング手段が、前記物体
    をそれが前記研磨手段に対してある程度往復運動や回転
    運動できるように保持して、前記平坦面上の任意の点で
    均一な研磨速度を達成するハンドリング構造であること
    を特徴とする請求項60に記載の平坦面を有する物体の
    研磨方法。
  63. 【請求項63】 前記物体が半導体材料であることを
    特徴とする請求項59に記載の平坦面を有する物体の研
    磨方法。
  64. 【請求項64】 前記半導体材料がウェハ若しくはフ
    ラットパネルディスプレイであることを特徴とする請求
    項60に記載の平坦面を有する物体の研磨方法。
  65. 【請求項65】 前記物体が磁気データ記憶材料であ
    ることを特徴とする請求項59に記載の平坦面を有する
    物体の研磨方法。
  66. 【請求項66】 前記磁気データ記憶材料がハードデ
    ィスクドライブであることを特徴とする請求項65に記
    載の平坦面を有する物体の研磨方法。
  67. 【請求項67】 前記研磨平面に対して実質的に非平
    行な前記垂直ベクトルの向きが、重力加速度ベクトルに
    対して垂直であることを特徴とする請求項59に記載の
    平坦面を有する物体の研磨方法。
  68. 【請求項68】 平坦面を有する物体の研磨加工のス
    ループットを高める方法であって、 ベルト式研磨手段に安定的に接触した状態で前記物体を
    保持するハンドリング手段に前記物体を設置する過程
    と、 前記物体に対して所望の研磨速度が得られるまで十分な
    時間をかけて300フィート/分以上の相対速度で前記
    平坦面を研磨するべく駆動手段により前記ベルト式研磨
    手段を回転させる過程とを有することを特徴とし、 前記ベルト式研磨手段が設置面に確実に固定され、前記
    ハンドリング手段により前記物体の前記平坦な平面が研
    磨平面と関連する形で保持されており、前記ベルト式研
    磨手段により、前記研磨平面に対する垂直ベクトルが重
    力加速度ベクトルに対して実質的に非平行となるように
    前記研磨平面が定められていることを特徴とする平坦面
    を有する物体の研磨加工のスループットを高める方法。
  69. 【請求項69】 前記ハンドリング手段が、前記平坦
    面上の任意の点で均一な研磨速度が得られるように前記
    物体を前記研磨手段に対して押しつける形で保持するハ
    ンドリング構造であることを特徴とする平坦面を有する
    物体の研磨加工のスループットを高める方法。
  70. 【請求項70】 前記物体が半導体材料であることを
    特徴とする請求項69に記載の平坦面を有する物体の研
    磨加工のスループットを高める方法。
  71. 【請求項71】 前記半導体材料がウェハ若しくはフ
    ラットパネルディスプレイであることを特徴とする請求
    項70に記載の平坦面を有する物体の研磨加工のスルー
    プットを高める方法。
  72. 【請求項72】 前記物体が磁気データ記憶材料であ
    ることを特徴とする請求項68に記載の平坦面を有する
    物体の研磨加工のスループットを高める方法。
  73. 【請求項73】 前記磁気データ記憶材料がハードデ
    ィスクドライブであることを特徴とする請求項72に記
    載の平坦面を有する物体の研磨加工のスループットを高
    める方法。
  74. 【請求項74】 前記研磨平面に対して垂直なベクト
    ルの重力加速度ベクトルに対する実質的に非平行な向き
    が、重力加速度ベクトルに対して垂直であることを特徴
    とする請求項68に記載の平坦面を有する物体の研磨加
    工のスループットを高める方法。
  75. 【請求項75】 平坦面を有する物体の研磨装置の設
    置占有面積を小さくする方法であって、 前記装置のベルト式研磨手段に安定的に接触するように
    前記物体を保持する前記装置のハンドリング手段に前記
    物体を設置する過程と、 前記物体に対して所望の研磨速度が得られるまで十分な
    時間をかけて前記平坦面を研磨するために移動手段によ
    り前記ベルト式研磨手段を回転させる過程とを有するこ
    とを特徴とし、 前記ベルト式研磨手段が設置面に固定的に設置され、前
    記ハンドリング手段により前記物体の前記平坦面が研磨
    平面に関連されるように保持されており、前記ベルト式
    研磨手段により、前記研磨平面に対する垂直ベクトルが
    重力加速度ベクトルに対して実質的に非平行となるよう
    に前記研磨平面が定められていることを特徴とする平坦
    面を有する物体の研磨装置の設置占有面積を小さくする
    方法。
  76. 【請求項76】 前記ハンドリング手段が、前記平坦
    面上の任意の点に対して均一な研磨速度を達成するため
    に前記物体をそれが前記研磨手段に対して確実に押しつ
    けられた状態で保持するハンドリング構造であることを
    特徴とする請求項75に記載の平坦面を有する物体の研
    磨装置の設置占有面積を小さくする方法。
  77. 【請求項77】 前記物体が半導体材料であることを
    特徴とする請求項76に記載の平坦面を有する物体の研
    磨装置の設置占有面積を小さくする方法。
  78. 【請求項78】 前記半導体材料がウェハ若しくはフ
    ラットパネルディスプレイであることを特徴とする請求
    項77に記載の平坦面を有する物体の研磨装置の設置占
    有面積を小さくする方法。
  79. 【請求項79】 前記物体が磁気データ記憶材料であ
    ることを特徴とする請求項76に記載の平坦面を有する
    物体の研磨装置の設置占有面積を小さくする方法。
  80. 【請求項80】 前記磁気データ記憶材料がハードデ
    ィスクドライブであることを特徴とする請求項79に記
    載の平坦面を有する物体の研磨装置の設置占有面積を小
    さくする方法。
  81. 【請求項81】 前記装置が前記研磨平面に対する垂
    直ベクトルの重力加速度ベクトルに対する実質的に非平
    行な向きが、重力加速度ベクトルに対して垂直になる設
    置形態で装置が設置されていることを特徴とする請求項
    75に記載の平坦面を有する物体の研磨装置の設置占有
    面積を小さくする方法。
  82. 【請求項82】 平坦面を有する物体の研磨加工にお
    いて研磨装置の設置占有面積を小さくすると共に高いス
    ループットを達成する方法であって、 研磨のための一連の研磨装置を備える過程であって、前
    記装置が、(a)前記平坦面を研磨するためのベルト式
    研磨手段と、(b)前記研磨手段を回転させるための前
    記ベルト式研磨手段に結合された駆動手段と、(c)前
    記ベルト式研磨手段を保持するための保持手段と、
    (d)前記物体を前記ベルト式研磨手段に研磨のために
    押し当てた状態で保持するハンドリング手段とを有し、
    (e)前記装置がそれが固定される設置面に対して様々
    な設置形態で空間的に配置され、該装置において、前記
    ハンドリング手段により前記物体の前記平坦面が研磨平
    面に関連するように保持されており、前記ベルト式研磨
    手段により、前記研磨平面に対する垂直ベクトルが重力
    加速度ベクトルに対して実質的に非平行となるように前
    記研磨平面が定められている、該過程と、 前記物体を前記ベルト式研磨手段に対して安定的に接触
    した状態に保持する前記ハンドリング手段に前記物体を
    設置する過程と、 前記物体に対して所望の研磨速度が達成されるまで十分
    な時間をかけて前記平坦面を研磨するために前記駆動手
    段により前記ベルト式研磨手段を回動させる過程とを有
    することを特徴とする平坦面を有する物体の研磨加工に
    おいて研磨装置の設置占有面積を小さくすると共に高い
    スループットを達成する方法。
  83. 【請求項83】 前記ハンドリング手段が、前記平坦
    面上の任意の点において均一な研磨速度を達成するよう
    に前記物体を前記研磨手段に対して確実に保持するハン
    ドリング構造であることを特徴とする請求項82に記載
    の平坦面を有する物体の研磨加工において研磨装置の設
    置占有面積を小さくすると共に高いスループットを達成
    する方法。
  84. 【請求項84】 前記物体が半導体材料であることを
    特徴とする請求項82に記載の平坦面を有する物体の研
    磨加工において研磨装置の設置占有面積を小さくすると
    共に高いスループットを達成する方法。
  85. 【請求項85】 前記半導体材料がウェハ若しくはフ
    ラットパネルディスプレイであることを特徴とする請求
    項84に記載の平坦面を有する物体の研磨加工において
    研磨装置の設置占有面積を小さくすると共に高いスルー
    プットを達成する方法。
  86. 【請求項86】 前記物体が磁気データ記憶材料であ
    ることを特徴とする請求項82に記載の平坦面を有する
    物体の研磨加工において研磨装置の設置占有面積を小さ
    くすると共に高いスループットを達成する方法。
  87. 【請求項87】 前記磁気データ記憶材料がハードデ
    ィスクドライブであることを特徴とする請求項86に記
    載の平坦面を有する物体の研磨加工において研磨装置の
    設置占有面積を小さくすると共に高いスループットを達
    成する方法。
  88. 【請求項88】 前記研磨平面に対する垂直ベクトル
    の重力加速度に対して非平行である向きが、重力加速度
    ベクトルに対して垂直であることを特徴とする請求項8
    5に記載の平坦面を有する物体の研磨加工において研磨
    装置の設置占有面積を小さくすると共に高いスループッ
    トを達成する方法。
  89. 【請求項89】 前記一連の装置が、装置のそれぞれ
    が互いに平行に並べられた配置形態である並列型配置で
    空間的に配置されていることを特徴とする請求項82に
    記載の平坦面を有する物体の研磨加工において研磨装置
    の設置占有面積を小さくすると共に高いスループットを
    達成する方法。
  90. 【請求項90】 前記一連の装置が、隣接する装置が
    前後に並べられた配置形態である直列型配置で空間的に
    配置されていることを特徴とする請求項82に記載の平
    坦面を有する物体の研磨加工において研磨装置の設置占
    有面積を小さくすると共に高いスループットを達成する
    方法。
  91. 【請求項91】 前記一連の装置が、隣接するものの
    一方が他方の上に載せられた配置形態である積み重ね型
    配置で配置されていることを特徴とする請求項82に記
    載の平坦面を有する物体の研磨加工において研磨装置の
    設置占有面積を小さくすると共に高いスループットを達
    成する方法。
JP03936098A 1997-02-21 1998-02-20 ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法 Expired - Fee Related JP3911082B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/803,623 US6059643A (en) 1997-02-21 1997-02-21 Apparatus and method for polishing a flat surface using a belted polishing pad
US08/803,623 1997-02-21

Publications (2)

Publication Number Publication Date
JPH10309662A true JPH10309662A (ja) 1998-11-24
JP3911082B2 JP3911082B2 (ja) 2007-05-09

Family

ID=25187034

Family Applications (1)

Application Number Title Priority Date Filing Date
JP03936098A Expired - Fee Related JP3911082B2 (ja) 1997-02-21 1998-02-20 ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法

Country Status (7)

Country Link
US (2) US6059643A (ja)
EP (1) EP0860239B1 (ja)
JP (1) JP3911082B2 (ja)
KR (1) KR100488301B1 (ja)
CN (1) CN1083754C (ja)
DE (1) DE69823407T2 (ja)
TW (1) TW393375B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012046333A (ja) * 2010-08-27 2012-03-08 Ys Kk コンベア装置

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6336845B1 (en) * 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US6244935B1 (en) 1999-02-04 2001-06-12 Applied Materials, Inc. Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet
US6475070B1 (en) 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6241583B1 (en) * 1999-02-04 2001-06-05 Applied Materials, Inc. Chemical mechanical polishing with a plurality of polishing sheets
US6626744B1 (en) 1999-12-17 2003-09-30 Applied Materials, Inc. Planarization system with multiple polishing pads
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7077733B1 (en) 2000-08-31 2006-07-18 Micron Technology, Inc. Subpad support with a releasable subpad securing element and polishing apparatus including the subpad support
US6942545B2 (en) * 2001-04-20 2005-09-13 Oriol, Inc. Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
KR20040020147A (ko) * 2002-08-29 2004-03-09 삼성전자주식회사 잉크카트리지
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
CN101987429B (zh) * 2009-08-07 2012-09-26 中芯国际集成电路制造(上海)有限公司 化学机械研磨方法和装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR20230165381A (ko) 2016-06-24 2023-12-05 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 연마를 위한 슬러리 분배 디바이스
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN107457633B (zh) * 2017-07-28 2019-02-01 义乌市台荣超硬制品有限公司 一种重力恒压平磨机
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109333273A (zh) * 2018-11-26 2019-02-15 广州和兴机电科技有限公司 一种整平拉丝一体机
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2416493A (en) * 1945-02-26 1947-02-25 Herman S Newton Sanding belt machine
US2934279A (en) * 1955-06-29 1960-04-26 Minnesota Mining & Mfg Production of groundwood pulp
US3656265A (en) * 1969-10-14 1972-04-18 Schaffner Mfg Co Inc Method of making an abrasive belt
US3908316A (en) * 1974-09-04 1975-09-30 Lok Box Inc Multi-station simultaneous dual-side sanding machine
US4535568A (en) * 1983-11-18 1985-08-20 Lafave Charles H Belt sander
JPH01257558A (ja) * 1988-04-06 1989-10-13 Shigeru Hasegawa 傾斜自在型のベルトサンダー
JPH01271157A (ja) * 1988-04-20 1989-10-30 Brother Ind Ltd 磁気ディスク媒体の製造方法
DE69512971T2 (de) * 1994-08-09 2000-05-18 Ontrak Systems Inc Linear Poliergerät und Wafer Planarisierungsverfahren
US5593344A (en) * 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
US5575707A (en) * 1994-10-11 1996-11-19 Ontrak Systems, Inc. Polishing pad cluster for polishing a semiconductor wafer
US5791969A (en) * 1994-11-01 1998-08-11 Lund; Douglas E. System and method of automatically polishing semiconductor wafers
US5800248A (en) * 1996-04-26 1998-09-01 Ontrak Systems Inc. Control of chemical-mechanical polishing rate across a substrate surface
US5722877A (en) * 1996-10-11 1998-03-03 Lam Research Corporation Technique for improving within-wafer non-uniformity of material removal for performing CMP
US5928062A (en) * 1997-04-30 1999-07-27 International Business Machines Corporation Vertical polishing device and method
US5897425A (en) * 1997-04-30 1999-04-27 International Business Machines Corporation Vertical polishing tool and method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012046333A (ja) * 2010-08-27 2012-03-08 Ys Kk コンベア装置

Also Published As

Publication number Publication date
TW393375B (en) 2000-06-11
DE69823407D1 (de) 2004-06-03
CN1083754C (zh) 2002-05-01
US6059643A (en) 2000-05-09
KR100488301B1 (ko) 2005-09-14
EP0860239A3 (en) 2000-04-05
EP0860239B1 (en) 2004-04-28
US6146249A (en) 2000-11-14
KR19980071770A (ko) 1998-10-26
CN1195595A (zh) 1998-10-14
DE69823407T2 (de) 2005-08-04
JP3911082B2 (ja) 2007-05-09
EP0860239A2 (en) 1998-08-26

Similar Documents

Publication Publication Date Title
JP3911082B2 (ja) ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法
US4934102A (en) System for mechanical planarization
US6263605B1 (en) Pad conditioner coupling and end effector for a chemical mechanical planarization system and method therefor
KR100315722B1 (ko) 기판표면을평탄화하기위한연마기
US5871390A (en) Method and apparatus for aligning and tensioning a pad/belt used in linear planarization for chemical mechanical polishing
US6402588B1 (en) Polishing apparatus
US6343978B1 (en) Method and apparatus for polishing workpiece
JPH09168969A (ja) ケミカルメカニカルポリシング装置のキャリアヘッドのデザイン
US6464571B2 (en) Polishing apparatus and method with belt drive system adapted to extend the lifetime of a refreshing polishing belt provided therein
US5980366A (en) Methods and apparatus for polishing using an improved plate stabilizer
US6322434B1 (en) Polishing apparatus including attitude controller for dressing apparatus
US6572462B1 (en) Carrier assembly for chemical mechanical planarization systems and method
US7291057B2 (en) Apparatus for polishing a substrate
US6796885B2 (en) Pad conditioner coupling and end effector for a chemical mechanical planarization system and method therfor
JP2001237206A (ja) 平坦化加工方法
JP2000094316A (ja) 平坦化研磨装置
KR20200079533A (ko) 기판 프로세싱 시스템을 위한 방법 및 평탄화된 멤브레인
KR100219499B1 (ko) 씨.엠.피(cmp) 장치 및 그를 이용한 평탄화 방법
KR20020006272A (ko) 반도체 웨이퍼의 폴리싱 장치
JPH11291167A (ja) 研磨装置及び研磨方法
US6350188B1 (en) Drive system for a carrier head support structure
JPH09155733A (ja) 研磨装置およびそれを用いたウエハ処理装置
KR20060030257A (ko) 반도체 소자 제조에 사용되는 화학적 기계적 연마 장치
KR20190101679A (ko) 기판 연마 장치
KR20190104757A (ko) 기판 연마 장치

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040706

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20041005

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20041013

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050831

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050914

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061017

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070126

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041224

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051116

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061020

LAPS Cancellation because of no payment of annual fees