DE69823407T2 - Verfahren und Vorrichtung zum Polieren einer flachen Oberfläche mittels eines Gurtschleifkissens - Google Patents

Verfahren und Vorrichtung zum Polieren einer flachen Oberfläche mittels eines Gurtschleifkissens Download PDF

Info

Publication number
DE69823407T2
DE69823407T2 DE69823407T DE69823407T DE69823407T2 DE 69823407 T2 DE69823407 T2 DE 69823407T2 DE 69823407 T DE69823407 T DE 69823407T DE 69823407 T DE69823407 T DE 69823407T DE 69823407 T2 DE69823407 T2 DE 69823407T2
Authority
DE
Germany
Prior art keywords
belt
polishing
pulley
wafer
article
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69823407T
Other languages
English (en)
Other versions
DE69823407D1 (de
Inventor
Albert Hu
Burford J Furman
Mohamed Abushaban
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Furman Burford J Mountain View
Hu Albert San Jose
Original Assignee
Furman Burford J Mountain View
Hu Albert San Jose
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Furman Burford J Mountain View, Hu Albert San Jose filed Critical Furman Burford J Mountain View
Publication of DE69823407D1 publication Critical patent/DE69823407D1/de
Application granted granted Critical
Publication of DE69823407T2 publication Critical patent/DE69823407T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/12Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving a contact wheel or roller pressing the belt against the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/06Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving members with limited contact area pressing the belt against the work, e.g. shoes sweeping across the whole area to be ground
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/8404Processes or apparatus specially adapted for manufacturing record carriers manufacturing base layers

Description

  • Die vorliegende Erfindung betrifft Halbleiter- und Festplattenpolierausrüstung, insbesondere in Verbindung mit einer Vorrichtung und einem Verfahren von chemischer mechanischer Planarisierung ("CMP") für die Herstellung von Wafern, Flachbildschirmen (FPD) und Festplattenlaufwerken (HDD), die ein Riemenpolierkissen zum Erhöhen des Durchsatzes von polierten Wafern verwenden, während die Ausrüstungsanbringungsfläche ("Aufstandsfläche") reduziert wird.
  • Planarisierungstechniken sind kritisch für den Prozess von ULSI (Ultra-Large Scale Integrated Circuit, Ultrahöchstintegrationsschaltung)-Vorrichtungen der neuen Generation wie zum Beispiel Hochgeschwindigkeits-, Hochleistungsrechnungs-Leistungs-CPUs und hochdichter Speicherchips. Diese Vorrichtungen weisen gewöhnlich mehrere Schichten auf, bei denen abwechselnde Metall- und dielektrische Materialien aufeinander aufgebaut werden. Die Metallschichten bestehen aus fein verteilten Verdrahtungsleitungen mit feinen Merkmalen zum Übertragen elektrischer Signale. Die Breiten dieser Leitungen in den heutigen ULSI-Vorrichtungen liegen im Bereich von 0,5 μm oder kleiner. Die dielektrischen Schichten liefern elektrische Isolierung und mechanischen Halt für die Metallverdrahtungsleitungen. Die dielektrischen Materialien, typischerweise SiO2, füllen auch den Raum zwischen den Metallleitungen. Jede der Metallleitungen wird auf der dielektrischen Schicht unter Verwendung von Lithographieverfahren "gemustert" oder hergestellt. Die Lithographie für Musterauflösung unter 0,5 μm erfordert, dass die dielektrische Schicht extrem eben ist, so dass ihre Variation in der Höhe in dem Bereich von Zehnteln von Angström gehalten wird. Die Ebenheit muss aufgrund der flachen Schärfentiefe ("DOF") von Belichtungsinstrumenten für optische Lithographie unter 0,5 μm sowohl lokal als auch global über ein gesamtes Lithographiefeld vorliegen.
  • Konventionelle Techniken, die zum Erzielen höherer Grade von Waferplanarisierung verwendet werden, umfassen Bestrahlung des Wafer mit einem Laser; Beschichtung des Wafer mit Spin-On-Gläsern, Harzen oder anderen Polymeren; und Behandlung des Wafer mit wärmerückfließenden Materialien wie zum Beispiel BPSG. Als eine Alternative werden auch neue Dielektrikumaufbringungstechniken wie zum Beispiel TEOS-Ozon bei Atmosphärendruck, ECR CVD und Aufbringung/Ätzen/Aufbringungs-(dep/etch/dep)Schemata in Gruppenwerkzeugen verwendet. Es ist jedoch keine dieser konventionellen Planarisierungstechniken ist in der Lage, die benötigte globale Ebenheit für fortgeschrittene Prozesse zu liefern.
  • CMP ist die einzige bekannte Planarisierungstechnik, die die extremen Ebenheitsanforderungen auf dem globalen Maßstab erfüllt. CMP ist der Prozess der Entfernung von Oberflächenmaterial von dem Substrat sowohl durch mechanisches Schleifen als auch chemisches Ätzen. Während des CMP-Prozesses wird das Substrat, das poliert wird, wie zum Beispiel ein Wafer, auf einem Träger (Kopf) gehalten und gegen ein Polierkissen gepresst, das mit einem Schleifschlamm getränkt ist. Die meiste CMP-Ausrüstung erzeugt relativ kreisförmige, orbitale, läppende oder eine Kombination aus diesen Bewegungen zwischen den Trägern und dem Kissen, um mechanisches Schleifen zu bewirken, während das Ätzmittel in dem Schlamm chemisches Ätzen bewirkt. Während CMP Planarisierungsfähigkeiten jenseits anderer aktueller Planarisierungstechniken demonstriert hat, sind ihre Beschränkungen niedriger Durchsatz (typischerweise 20–40 Wafer pro Stunde) und deshalb hohe Besitzkosten gewesen.
  • Der Materialentfernungsgrad (oder Poliergrad) des CMP-Prozesses kann durch das folgende halbempirische Verhältnis bestimmt werden, das als die Prestonsche Gleichung bekannt ist: Entfernungsgrad (Angström/Minute) = K × P × VREL wobei P der Druck zwischen dem Substrat und dem Kissen ist, VREL die relative lineare Geschwindigkeit zwischen einem arbiträren Punkt auf dem Substrat und seiner Projektion auf das Kissen ist, und K eine Konstante ist, die durch die chemische Zusammensetzung des Schlamms, die Prozesstemperatur und die Kissenoberfläche bestimmt wird. In traditioneller CMP-Ausrüstung wird der den Wafer haltende Träger gegen das Kissen gedrückt, welches an eine horizontale Platte geklebt ist. Zusätzlich zur Drehung des Trägers muss die Platte auch rotieren, um eine einheitlichere Verteilung der relativen linearen Geschwindigkeiten VREL für alle Punkte auf dem Wafer zu bewirken. Gleichzeitige Drehung des Trägers und der Platte ist erforderlich, da ohne diese VREL null an der Mitte des Wafer und am größten an der Kante des Wafer sein wird. Der Prestonschen Gleichung zufolge, wird dies zu einem sehr niedrigen Entfernungsgrad an der Mitte des Wafer und einem sehr hohen Entfernungsgrad nahe der Kante des Wafer führen.
  • Es ist dann offensichtlich, dass zum Erhalten einheitlicher Entfernungsgrade über den Wafer, UREL für alle Punkte auf dem Substrat einheitlich sein muss. Das Substrat ist rund für Wafer und rechteckig für FPD. In traditioneller CMP-Ausrüstung muss die Platte ausreichend groß sein, um die Träger aufzunehmen, die nahe dem Rand der Platte platziert sind, so dass die relative lineare Geschwindigkeit der Wafermitte nahe derjenigen seiner, Kante ist. Der typische Durchmesser der Platte beträgt 30 bis 36 Zoll (76,2 bis 152,4 cm) und die typische Drehgeschwindigkeit ist 20 bis 40 UpM. Der typische, auf den Wafer während des CMP-Prozesses ausgeübte Druck beträgt etwa 7 psi (0,48 bar). Beim Pressen eines 8 Zoll (200 mm) Wafer auf die Platte bei dem vorgenannten Druck, beträgt die gesamte ausgeübte Kraft 352 pdf (Pfund als Einheit der Kraft) (79,2 N). Zum Erhalten von Präzision auf Mikronniveau und Einheitlichkeit auf polierten Wafern, muss die Verformung der Drehplatte jedoch minimal sein. Zum Erreichen solcher Ziele sind die in verschiedenen existierenden CMP-Ausrüstungen verwendeten Platten notwendigerweise groß und sperrig.
  • Infolge der Größenbeschränkung kann die vorgenannte CMP-Ausrüstung nicht zum Polieren von FDP und Wafersubstraten der neuen Generation geeignet sein, die die Tendenz haben, größer zu werden. Genau ausgedrückt, wenn ein 12 Zoll (300 mm) Wafer, das bei der Herstellung von ULSI-Vorrichtungen der nächsten Generation verwendet wird, auf eine Platte bei dem vorgenannten Druck gepresst wird, wird eine Abwärtskaft von 792 pdf (178,2 N) dynamisch auf die Platte geladen werden. Die Platte wird dann noch größer und sperriger als die aktuelle Konfiguration sein müssen. Außerdem, wenn mehrere Köpfe auf der selben Platte zum Erhöhen des Durchsatzes verwendet werden, zum Beispiel 6 Köpfe, die 8 Zoll (200 mm) Wafer halten, dann kann die gesamte auf die Platte einwirkende Abwärtskraft so hoch wie 2112 pdf (475,2 N) sein. Wenn drei 12 Zoll (30,5 cm) Wafer haltende Köpfe gegen die Platte gedrückt werden, dann kann die gesamte Abwärtskraft 2376 pdf (534,6 N) betragen. Außerdem muss zum Erhöhen des Durchsatzes die Drehgeschwindigkeit der Platte höher sein, was einen noch sperrigeren Halter für die Platte erfordert.
  • Die oben beschriebenen CMP-Prozesse und -Vorrichtungen sind daher weit davon entfernt, ideal zu sein, und erfordern wesentliche Verbesserungen.
  • Erstens besteht ein Hauptnachteil traditioneller CMP-Ausrüstung in ihrer begrenzten Produktivität. Die größte relative lineare Geschwindigkeit, die von dem in der traditionellen CMP-Ausrüstung verwendeten Kopf/Plattenmechanismus erhältlich ist, beträgt in der Praxis 150 Fuß/min. (45,72 m/min), was den durch einen solchen Mechanismus erhältlichen grössten Entfernungsgrad streng begrenzt.
  • Zweitens ist eine große Anbringungsfläche ein anderer Nachteil aktueller CMP-Polierer, da diese Polierer nur eine horizontal ausgerichtete grosse Platte verwenden. Solche Polierer haben gewöhnlich eine Aufstandsfläche über 25 Quadratfuß (2,32 m2), was wertvollen Herstellungsraum in modernen Waferherstellungsanlagen einnimmt.
  • Drittens, wie oben ausgeführt, ist der durch aktuelle CMP-Technik verwendete Kopf/Plattenmechanismus ungeeignet für die Polierung größerer Wafer und FPD, da ihre Größen in der Zukunft zunehmen werden (d. h. 12 Zoll (30,48 cm) Wafer). Die Platte muss sehr sperrig sein, um Stabilität gegen eine große orbitale Abwärtskraft zu liefern.
  • Viertens, wie oben ausgeführt, muss die Platte groß sein, oder ansonsten wird ein oszillierender Mechanismus benötigt, der den Träger über die Platte streicht, um einheitliche relative lineare Geschwindigkeiten über ein großes Substrat zu erhalten, um einen einheitlichen Entfernungsgrad über das Substrat zu erreichen.
  • Es besteht daher ein Bedarf an einer neuen CMP-Ausrüstung, die Waferdurchsatz erhöhen, die Aufstandsfläche der Ausrüstung reduzieren, das Polieren großer Wafer oder FPD aufnehmen kann, und einen einheitlichen Entfernungsgrad über das gesamte polierte Substrat liefern kann.
  • Es ist daher eine Aufgabe dieser Erfindung, eine CMP-Riemenmaschine zu schaffen, die in mehrere Richtungen ausgerichtet werden kann, einen wesentlichen höheren Durchsatz, kleinere Aufstandsfläche, höhere relative Geschwindigkeit (d. h. 600 Fuß/min.) (182,88 m/min) einheitlich über das Substrat und einen höheren Ausmaß von Planarisierung in einem kompakteren Mechanismus aufweist, als momentan erhältlich ist.
  • Es ist eine weitere Aufgabe der Erfindung, eine CMP-Maschine zu schaffen, deren Auslegung inhärent geeigneter zum Polieren von Wafern und FPD größerer Abmessungen ist, die ansonsten für Handhabung durch Poliergeräte und Verfahren des Standes der Technik ungeeignet und weniger erwünscht sind.
  • Es ist eine weitere solche Aufgabe dieser Erfindung, eine CMP-Riemenmaschine mit einer weniger sperrigen Konstruktion zum Erzeugen einer hohen relativen Geschwindigkeit zu schaffen, ohne die Maschinengröße zu erhöhen, wie es bei den aktuellen Drehplatten der Fall ist, wobei eine solche Maschine mit einem ortsfesten Waferhalter versehen ist, um die Notwendigkeit eines sperrigen Halters zu beseitigen, wie er in der aktuellen Drehplatte erforderlich ist, so dass die Maschine vorzugsweise in einer vertikalen Position in bezug zu einem Bodenraum ausgerichtet ist, um ihre Aufstandsfläche auf so wenig wie 15 Quadratfuß (1,39 m2) zu begrenzen.
  • Es ist noch eine weitere solche Aufgabe, eine Waferpolier-Riemenmaschine zum Erhöhen von Produktionszuverlässigkeit und Senken der Unterbrechungen aufgrund von Maschinenwartung zusammen mit höherem Durchsatz und besserer Qualität zu schaffen, was zur Reduzierung der Besitzkosten führen wird.
  • Es ist noch eine weitere solche Aufgabe, ein Polierverfahren zu schaffen, das eine hier geschaffene Riemenpoliermaschine verwendet.
  • US-A-2416493 offenbart eine Schleifmaschine, die für Holzarbeiten verwendet wird, und in der ein Schleifriemen um zwei Spindeln herum angeordnet ist. Die menschliche Bedienungsperson muss ein Stück Holz zum Schleifen gegen den Schleifriemen halten und ein Mittel zum Halten des Holzstücks gegen den Riemen ist mittels eines Plattenbords oder Werktischs und eines Arbeitszauns bereitgestellt.
  • US-A-5575707 beschreibt eine Gruppe von Polierkissen zum Gebrauch beim Polieren eines Halbleiterwafer. Die Kissen sind in einer Mosaikform auf einem Riemen angeordnet, der in Form eines Rings um eine Mehrzahl von Rollen herum angeordnet ist. Der Riemen wird über einen starren Polierkissenhalter bewegt, und eine auf der Rückseite der Wafer vorgesehene Magnetplatte wird angeordnet, um ein Magnetfeld zum Drücken des Riemens und Schieben der Kissen zum Wafer hin zu erzeugen.
  • Es ist aus US-A-4535568 ein Riemenschleifer bekannt, bei dem ein Schleifriemen zwischen zwei drehbaren Riemenscheiben gezogen ist, so dass der Schleifriemen in einer vertikalen Ebene angeordnet ist, und bei dem eine der Riemenscheiben antreibend mit einem Antriebsmittel verbunden ist. Eine Handhabungsanordnung ist auch eingeschlossen, um den zu schleifenden Gegenstand in Richtung auf den Schleifriemen zu drücken, und eine Halteanordnung ist zum Anbringen der Riemenscheiben und auch des Riemens in solcher Weise eingeschlossen, dass die erforderliche Drehung und Ausrichtung des Riemens während Gebrauch aufrechterhalten werden.
  • Einem Aspekt der vorliegenden Erfindung zufolge wird eine Vorrichtung zum Polieren eines Gegenstands mit einer flachen Oberfläche geschaffen, umfassend:
    einen Ringriemen für chemisches mechanisches Polieren der genannten flachen Oberfläche;
    ein Antriebsmittel, das koppelnd mit dem genannten Riemen zum Rotieren des genannten Riemens verbunden ist;
    ein Haltemittel zum Halten des genannten Riemens;
    ein Handhabungsmittel zum Halten des Gegenstands gegen den genannten Riemen zum Polieren; und
    wobei die genannte Vorrichtung auf einem Installationsraum installiert ist und eine solche räumliche Ausrichtung hat, dass die genannte flache Oberfläche des Gegenstands durch das genannte Handhabungsmittel in Bezug zu einer Polierebene, die durch den genannten Riemen begrenzt wird, in solcher Weise gehalten wird, dass der Normalenvektor zu der genannten Polierebene nichtparallel zu dem Schwerebeschleunigungsvektor ist.
  • Einem anderen Aspekt der vorliegenden Erfindung zufolge wird ein Verfahren zum Polieren eines Gegenstands mit einer flachen Oberfläche geschaffen, umfassend:
    Platzieren des genannten Gegenstands in einem Handhabungsmittel, das den genannten Gegenstand in stetigem Kontakt mit einem Ringriemen hält, wobei der genannte Riemen sicher an einem Installationsraum installiert wird, so dass die genannte flache Oberfläche des genannten Gegenstands durch das genannte Handhabungsmittel in Bezug zu einer Polierebene gehalten wird, die durch den genannten Riemen in solcher Weise begrenzt wird, dass der Normalenvektor zu der genannten Polierebene nicht parallel zu dem Schwerebeschleunigungsvektor ist; und
    Rotieren des genannten Riemens durch ein Antriebsmittel, um die genannte flache Oberfläche für eine ausreichende Zeitspanne chemisch mechanisch zu polieren, bis ein gewünschter Poliergrad für den genannten Gegenstand erreicht ist.
  • Die vorhergehend genannten Aufgaben werden durch die vorliegende Erfindung durch eine CMP-Maschine realisiert, die einen einheitlichen Poliergrad auf einer polierten Oberfläche eines Substrats wie zum Beispiel eines Wafer und einen relativ höheren Durchsatz von polierten Substraten mit einer kleineren Aufstandsfläche als existierende Ausrüstung liefert. Die bevorzugte Ausführungsform umfasst einen Ringriemen, der räumlich in einer bevorzugten vertikalen Richtung in Bezug zu einem Fußboden ausgerichtet ist. Ein Polierkissen ist an eine Außenfläche des Riemens geklebt oder befestigt. An einer Innenfläche des Riemens befindet sich eine Mehrzahl von Waferhaltern zum Halten der Wafer, während sie sich in dem Polierprozess befinden. Wafer werden von einer Waferstation auf einen Waferkopf unter Verwendung einer Roboterhandhabungsstruktur vor Polieren aufgelegt und werden von dem Waferkopf nach Polieren zur Waferstation abgeladen. Ein Elektromotor oder Äquivalent wird zum Antreiben des Ringriemens verwendet, der über zwei Riemenscheiben läuft. Ein einstellbares Mittel wird zum Einstellen der Spannung und Position des Riemens für glattes Laufen verwendet.
  • Die durch die vorliegende Erfindung geschaffene CMP-Maschine verwendet einen laufenden Ringriemen mit einem an den Ringriemen geklebten Polierkissen anstelle einer Drehplatte mit einem an die Platte geklebten Polierkissen. Der Waferkopf hält den Wafer und drückt ihn gegen den Riemen und die Waferhalter. Mehrere Sätze von Waferköpfen sind an der bewegbaren Handhabungsstruktur angebracht, die Aufladen und Abladen von Wafern zulässt, während Wafer in einem Satz von Waferköpfen poliert werden. Die neue CMP-Maschine könnte in mehreren Ausrichtungen angebracht werden. Mehrere Maschinen könnten in verschiedenen Positionen zum Sparen von Herstellungsraum angeordnet werden. Zum Nutzendes Riemenpoliermechanismus wird ein die CMP-Riemenmaschine verwendendes Verfahren zum Erhöhen des Durchsatzes bei Reduzierung der Aufstandsfläche auch durch die vorliegende Erfindung geschaffen.
  • Die Erfindung soll nun beispielhaft unter Bezugnahme auf die beigefügten Zeichnungen beschrieben werden, in denen:
  • 1 eine schematische Zeichnung einer CMP-Maschine mit einem Riemenpolierkissen gemäß einer bevorzugten Ausführungsform der Erfindung ist;
  • 2 eine Seitenansicht der CMP-Ausrüstung mit einem Riemenpolierkissen gemäß einer bevorzugten Ausführungsform der Erfindung ist;
  • 3 eine Draufsicht der CMP-Ausrüstung mit einem Riemenpolierkissen gemäß einer bevorzugten Ausführungsform der Erfindung ist;
  • 4 eine Handhabungsstruktur gemäß der Erfindung zeigt; A ist die Draufsicht und Seitenansicht der Handhabungsstruktur; B zeigt die Handhabungsstruktur entweder in einer Bewegungs- oder Polierposition; und C zeigt eine obere Aufladeposition der Handhabungsstruktur in Bezug zum Riemenpolierkissen;
  • 5 einen Schaftmechanismus zeigt, der eine Linear- und Drehbewegung zulässt; A stellt einen polierten Schaft und einen Schwenkschaft dar; und B zeigt den an einem Halter angebrachten Schaftmechanismus;
  • 6 eine Draufsicht und eine Seitenansicht einer einstellbaren Riemenscheibenbefestigung gemäß einer bevorzugten Ausführungsform der Erfindung ist;
  • 7A und B eine Draufsicht und eine Seitenansicht einer feststehenden Riemenscheibenbefestigung und Waferhalter gemäß der Erfindung zeigen;
  • 8 die Befestigung zeigt, die die einstellbare Riemenscheibenbefestigung hält;
  • 9 ein kugelförmiges Kugelspurlager zeigt, das Dreh- und Linearbewegung zulässt;
  • 10A, 10B und 10C mögliche räumliche Ausrichtungen einer Reihe von CMP-Maschinen gemäß einer bevorzugten Ausführungsform der Erfindung sind; und
  • 11 alternative Ausrichtungen für die CMP-Maschinen gemäß der Erfindung zeigt, bei denen der Riemen vertikal in bezug zum Boden rotiert.
  • Bezugnehmend auf die 1, 2 und 3 ist zu sehen, dass eine bevorzugte Ausführungsform einer mehrfach ausgerichteten CMP-Riemenmaschine der Erfindung einen Ringriemen 1 mit einem an eine Außenfläche des Riemens 1 geklebten Polierkissen 1a, eine Basis 29, eine feststehende Riemenscheibe 2 aufweist, die durch eine feststehende Riemenscheibenbefestigung 5 gehalten wird, welche an einen bürstenlosen Wechselstrommotor 8 oder irgendeinen anderen geeigneten Motor durch ein Kupplungsmittel 7 gekoppelt ist. Das Kupplungsmittel 7 kann entweder ein V-Riemen oder Doppelketten sein, was immer sich als geeigneter zum Unterstützen des zum Betreiben des Riemens 1 erforderlichen hohen Drehmoments herausstellt. Die mehrfach ausgerichtete CMP-Riemenmaschine umfasst ferner eine einstellbare Riemenscheibe 6, die durch eine einstellbare Riemenscheibenbefestigung 21 gehalten wird, um einen Einstellmechanismus in Verbindung mit anderen Elementen zu schaffen, wie später beschrieben werden soll, um eine Linear- und zwei Dreheinstellbewegungen der einstellbaren Riemenscheibe 6 zu steuern, durch die Spannungen des Riemens 1 geeignet eingestellt und gesteuert werden können. Die Riemenscheiben 2 und 6 könnten flach oder geflanscht sein. Wenn eine geflanschte Riemenscheibe verwendet wird, würde diese Querspureinstellungen unterstützen. In dieser bevorzugten Ausführungsform werden hier flache Riemenscheiben verwendet.
  • Die feststehende Riemenscheibenbefestigung 5, wie sie auch in den 7A und 7B gezeigt ist, umfasst ein oberes Element 5a und ein unteres Element 5b, die beide integriert mit einem vertikalen Element 5c verbunden sind, das vertikal zwischen einer halben Länge des oberen Elementes 5a und des unteren Elements 5b angeordnet ist, um einen Raum zum Aufnehmen der feststehenden Riemenscheibe 2 zu begrenzen. Die feststehende Riemenscheibenbefestigung 5 besteht weiter aus einem Schaft 3, zwei kugelförmigen Rollenkugellagern 17 und einer Mehrzahl von Waferhaltern 23. Der Schaft 3 dringt durch die feststehende Riemenscheibe 2 und überspannt eine gesamte Länge des vorgenannten Raums zum Verbinden des ersten und zweiten kugelförmigen Rollenkugellagers 17, die auf dem oberen Element 5a bzw. dem unteren Element 5c angeordnet sind, bis ein Ende des Schafts 3, das mit dem unteren Element 5c der feststehenden Riemenscheibenbefestigung 5 verbunden ist, koppelnd mit dem Kupplungsmittel 7 in Eingriff kommt, um einen Antriebsmechanismus für die feststehenden Riemenscheibe 2 zu liefern. Das untere Element 5b der feststehenden Riemenscheibenbefestigung 5 ist fest an der Basis 29 angebracht, welche fest auf einem Bodenraum installiert ist. Jeder der Waferhalter 23 wird durch einen Waferschaft 23a mit Hilfe eines Waferschaftstifts 23b entweder an einer Vorder- oder eine Rückseite des vertikalen Elements 5c angebracht, so dass die Waferhalter 23 in einem unmittelbaren Kontakt mit den Innenflächen des Riemens 1 angeordnet sind. Der Waferschaftstift 23b ist entfernbar an dem Waferschaft 23a von einer anderen Seite des vertikalen Elements 5c als von der Vorder- und Rückseite des vertikalen Elements 5c angebracht, um einfache Montage und Auswechselung der Waferhalter 23 zu ermöglichen. Eine Schaftbegrenzung 23c ist zwischen den Waferhaltern 23 und dem Waferschaft 23a vorgesehen, um Einziehen des Waferschafts 23a innerhalb des vertikalen Elements 5c während des Polierprozesses zu verhindern. Die Waferhalter 23 können in einer Vielzahl von Größen und Formen abhängig von den Größen und Formen der Wafer, Einrichtungen, oder jeglicher anderer zu polierender Substrate oder Materialien vorliegen. Die Größen der Waferhalter 23 sind allgemein etwas größer als die Größen von Wafern, um eine jegliche potentielle Fehlausrichtung von Wafern auf den Waferhaltern 23 auszugleichen.
  • Gemeinsam bezugnehmend auf die 1, 2, 3, 5 und 6 soll nun der oben beschriebene Einstellmechanismus erörtert werden. Der Einstellmechanismus umfasst einen Halter 35, einen Schaftmechanismus 18, zwei Paar von Einstellarmen 24 und 24a, ein Paar Kugelschrauben 14, ein Paar Schneckengetriebe 28 in Eingriff in einem Paar von Einstellschrauben 20. Der Halter 35 ist fest durch sein Bodenende an der Basis 29 angebracht, um physikalischen Halt für die einstellbare Riemenscheibenbefestigung 21 zu liefern und den Schaftmechanismus 18 zu halten. Der Schaftmechanismus 18, wie er in den 5A und B gezeigt ist, besteht aus einem polierten Schaft 27, einem Schwenkschaft 26 und einem Joch 36. Das Joch 36 weist zwei Seitenarme 36a und ein Querelement 36b auf, das integriert mit den beiden Seitenarmen 36a und zwischen denselben verbunden ist, so dass das Joch 36 den polierten Schaft 27 und den Schwenkschaft 26 als eine einzige physikalische Einheit in solcher Weise miteinander verbindet, dass ein Ende des polierten Schafts 27 entfernbar mit einer Unterseite des Querelements 36b des Jochs 36 verbunden ist und der Schwenkschaft 26 quer zwischen den beiden Seitenarmen 36a des Jochs 36 zum Bilden einer Rundhöhlung 36c verbunden wird, die eine gewisse Freiheit für die einstellbare Riemenscheibenbefestigung 21 zulässt, sich um den Schaftmechanismus 18 als Reaktion auf eine Einstellbewegung zu bewegen. Der Halter 35 umfasst ein poliertes Loch 19, das um einen Mittelteil desselben herum angeordnet ist, an dem der polierte Schaft 27 durch den Halter 35 hindurchgeht und mit dem Halter 35 in Eingriff tritt. Inzwischen greift der Schwenkschaft 26 des Schaftmechanismus 18 auch mit der einstellbaren Riemenscheibenbefestigung 21 durch ein Honloch 37 in einem Mittelteil der einstellbaren Riemenscheibenbefestigung 21 ineinander, um den Schaftmechanismus 18 in die Lage zu versetzen, zwei Einstellbewegungen durchzuführen, eine lineare entlang eines durch das polierte Loch 19 definierten Wegs, der in der gleichen Ebene des Riemens 1 liegt, und eine Drehbewegung um eine Achse der linearen Bewegung. Der Schaftmechanismus 18 kann durch den Schwenkschaft 26 auch um eine Achse senkrecht zu der Ebene des Riemens 1 durch die gemeinsamen Tätigkeiten des Paars von Kugelschrauben 14 und des Paars von Schneckengetrieben 28 rotieren, wie im folgenden beschrieben werden soll.
  • Das erste Paar der Einstellarme 24 ist fest an einem oberen Teil des Halters 35 angebracht und das zweite Paar der Einstellarme 24a ist fest an einem unteren Teil des Halters 35 angebracht, um Einstellbewegungen jeweils für einen oberen und einen unteren Teil der einstellbaren Riemenscheibenbefestigung 21 in Übereinstimmung mit den entsprechenden Einbaupositionen der Einstellarme 24, 24a an dem Halter 35 bereitzustellen. Wie in den 2 und 8 gezeigt ist, weist jedes Paar der Einstellarme 24, 24a einen ersten Arm und einen zweiten Arm auf. Die Einstellbewegungen werden durch Nutzen der gemeinsamen Tätigkeiten einer Feder 25, die zusammendrückend an einem mit Boden versehenen Loch an dem ersten Arm gegen die einstellbare Riemenscheibenbefestigung 21 angeordnet ist, und der an dem zweiten Arm angebrachten Einstellschraube 20 gesteuert, die das Schneckengetriebe 28 zwingt, sich rückwärts oder vorwärts gegen die einstellbare Riemenscheibenbefestigung 21 oder umgekehrt zu bewegen. Da die Feder 25 und das Schneckengetriebe 28 an den jeweiligen Armen einander gegenüberliegend angeordnet sind, wird das Schneckengetriebe 28 zum Drehen der einstellbaren Riemenscheibenbefestigung 21 in eine Richtung verwendet und die Feder 25 wird zum Drehen der einstellbaren Riemenscheibenbefestigung 21 in eine entgegengesetzte Richtung um den polierten Schaft 27 des Schaftmechanismus 18 verwendet. Diese Drehung ist sehr klein und reicht von 2° bis zu einem Maximum von 5°.
  • Gemeinsam bezugnehmend auf die 2, 3 und 9A hält die einstellbare Riemenscheibenbefestigung 6 die einstellbare Riemenscheibe 6 unter Verwendung eines Paars kugelförmiger Rollenkugellager 17, die mit einer Riemenscheibenachse 9 verbunden sind, so dass die einstellbare Riemenscheibe 6 bei der Aktivierung des Motors 8 frei um die Riemenscheibenachse 9 rotieren kann. Die einstellbare Riemenscheibenbefestigung 21 umfasst einen oberen Block 21a und einen unteren Block 21b, die beide entfernbar mit einem vertikalen Block 21c verbunden sind, der vertikal zwischen einer halben Länge des oberen Blocks 21a und des unteren Blocks 21b angeordnet ist, um einen Raum zum Aufnehmen der einstellbaren Riemenscheibe 6 zu begrenzen. Der obere Block 21a und der untere Block 21b sind mit dem vertikalen Block 21c durch eine Schraube 21d verbunden.
  • Die Schraube 21d wird entfernbar an den vertikalen Block geschraubt, um Entfernung des oberen Blocks 21a und des unteren Blocks 21b für einfache Entfernung und Auswechselung des Riemens 1 zu ermöglichen.
  • Bezugnehmend auf die 2, 9A und 9B weist der Halter 35 ferner ein erstes Paar von Kugelmüttern 15 auf, die jeweils gegen ein kugelförmiges Rollenkugellager 16 an dem Halter 35 an einer Stelle über bzw. unter dem polierten Loch 19 angebracht sind. Damit das Paar von Kugelschrauben 14 eine Einstellfunktion für die einstellbare Riemenscheibenbefestigung 21 annehmen kann, wird ein zweites Paar von Kugelmüttern 15a an der einstellbaren Riemenscheibenbefestigung 21 gegen ein zweites Paar kugelförmiger Kugelspurlager 16a an Positionen angebracht, die ihren Gegenstücken am Halter 35 entsprechen. Als solches wird die einstellbare Riemenscheibenbefestigung 21 durch den Halter 35 durch den Schaftmechanismus 18 und das Paar von Kugelschrauben 14 gehalten. Jede der Kugelschrauben 14 weist ein spitzes Ende und ein abgeflachtes Ende auf. Wie in 9B gezeigt ist, umfasst jedes der abgeflachten Enden ein Innengewinde 14a und einen Befestigungsstift 14b, durch den jedes der abgeflachten Enden fest innerhalb der Kugelmütter 15a an der einstellbaren Riemenscheibenbefestigung 21 angebracht wird. Jedes der spitzen Enden der Kugelschrauben 14 wird fest und dennoch einstellbar auf die Kugelmutter 15 an dem Halter 35 geschraubt. Die beiden Kugelschrauben 14 und Kugelmütter 15 könnten in einer umgekehrten Richtung platziert werden, ohne ihre Funktionalität zu verlieren. Diese zwei Kugelschrauben 14 können unabhängig in einer Kupplung entgegengesetzter Richtung mit dem Schaftmechanismus 18 eingestellt werden, um die einstellbare Riemenscheibenbefestigung 21 durch Rotieren der einstellbaren Riemenscheibenbefestigung 21 um eine Achse senkrecht zu der Ebene des Riemens 1 einzustellen. Alternativ könnten die beiden Kugelschauben 14 in der gleichen Richtung eingestellt werden, um die Spannung des Bands 1 festzuziehen oder zu lockern.
  • Die Einstellung für die einstellbare Riemenscheibenbefestigung 21 dient den folgenden beiden Zwecken. Erstens schafft sie eine Querspureinstellung für die Seitenposition des Riemens 1. Zweitens schafft sie eine präzise Steuerung und Einstellung für Riemenspannung zum Sicherstellen einer einheitlichen Spannung in dem Riemen 1 ungeachtet einer jeglichen konischen Form des Riemens 1.
  • Die Riemenspannung wird durch die lineare Bewegung der einstellbaren Riemenscheibenbefestigung 21 gesteuert, die die einstellbare Riemenscheibe 6 hält. Wie oben beschrieben ist, kann die einstellbare Riemenscheibenbefestigung 21 in einer Vielzal von Arien eingestellt werden, um eine gewünschte Riemenspannung zu erhalten. Zum Beispiel wird der Einstellmechanismus, der durch die Tätigkeit der mit dem Schaftmechanismus 1a koppelnden Kugelschrauben 14 geschaffen wird, zu diesem Zweck verwendet, um gleiche Spannung an zwei Seiten des Riemens 1 zu ermöglichen. Nachdem die Spannung in dem Riemen 1 unter Verwendung der beiden unabhängigen Kugelschrauben eingestellt ist, wird die Querspur durch zwei im folgenden beschriebene Drehbewegungen eingestellt.
  • Die Querspureinstellung wird gesteuert, indem zwei Freiheitsgrade für Bewegungen (d. h. Drehbewegungen um zwei senkrechte Achsen) zusätzlich zu der erforderlichen Drehung der einstellbaren Riemenscheibe 6 um die Achse 9 der einstellbaren Riemenscheibe 6 und der linearen Bewegung der einstellbaren Riemenscheibe 6 entlang der Ebene des Riemens 1 vorliegen. Genauer ausgedrückt, liegt die erste Drehachse in der gleichen Ebene der linearen Bewegung (senkrecht zur Achse 9 der einstellbaren Riemenscheibe 6) und verläuft durch einen Mittelpunkt des Achse 9. Die zweite Drehachse liegt auf einer Ebene senkrecht zur ersten Ebene, die darüber durch die erste Drehachse definiert wird, und verläuft auch durch den Mittelpunkt der Achse 9 der einstellbaren Riemenscheibe 6. Diese Drehung erfolgt um einen zylindrischen Teil des polierten Schafts 27, der in das polierte Loch 19 passt. Als solches weist die einstellbare Riemenscheibe 6 drei Freiheitsgrade für Einstellbewegung, eine lineare und zwei Drehbewegungen, zusätzlich zu der durch den Riemen 1 angetriebenen Drehbewegung auf.
  • Zurückgreifend auf die 1 und 3 sind die Waferhalter 23 weiter beschrieben. Die Waferhalter, wie oben erörtert, können in einer Vielzahl verschiedener Größen und Formen abhängig von den Durchmessern und Formen der zu polierenden Wafer oder Halbleitervorrichtungen vorliegen. In einer bevorzugten Ausführungsform der Erfindung sind die Waferhalter 23 flache kreisförmige Platten mit gerundeten Kanten, wie in 7 gezeigt. Die Waferhalter 23 dienen dazu, das Riemenpolierkissen flach zu halten, um eine Reduzierung potentieller Kantenauswirkungen auf die polierten Wafer zu unterstützen. Zur Nutzung einer solchen Auslegung kann eine Waferhandhabungsstruktur 40 zweckdienlich an Außenflächen des Riemens 1 an einer Position direkt gegenüberliegend zu den entsprechenden Waferhaltern 23 angeordnet oder platziert werden, so dass die Wafer gehalten und gegen den Polierriemen 1 gedrückt werden. Die Waferhalter können ausgelegt werden, um entlang einer Achse senkrecht zum Polierriemen 1 drehbar zu sein, oder um irgendeine oszillierende Drehung durch Aufwärts- und Abwärtsbewegung entlang des vertikalen Elements 5c oder eine Kombination von beiden durchführen. In der Alternative, als eine bevorzugte Ausführungsform der Erfindung, sind die Waferhalter ortsfest auf dem vertikalen Element 5c der feststehenden Riemenscheibenbefestigung 5. In einem solchen Fall ist die einzige benötigte Bewegung während des Polierens die Drehbewegung des Ringriemens 1.
  • Nun bezugnehmend auf die 4A, B und C ist die Waferhandhabungsstruktur weiter dargestellt. Wie oben aufgeführt ist, werden die Wafer allgemein unter Verwendung der Waferhandhabungsstruktur 40 aufgeladen und abgeladen, die Wafer zu und von den Belade- und Entladestationen (nicht gezeigt) handhabt. Die Waferhandhabungsstruktur 40 umfasst eine Flanschbasis 43 und ein Paar sich von dieser erstreckender paralleler Handhabungsarme 47. Jeder der Handhabungsarme umfasst eine Mehrzahl von Waferköpfen 41 zum Halten von Wafern. Jeder der Waferköpfe 41 ist integriert mit einem Pressmittel 42 verbunden, das als eine Innenstruktur der Handhabungsarme 47 ausgebildet ist. Das Pressmittel ist innerhalb der Handhabungsarme 47 einlassbar, während die Waferhandhabungsstruktur 40 sich entlang einer Fertigungsstraße oder einer Schiene durch einen Achszapfen 44 bewegt, der an einem Mittelteil der Flanschbasis 43 angeordnet ist. Das Pressmittel kann aus den Handhabungsarmen ausgezogen und extrudiert werden, während die Waferhandhabungsstruktur 40 Wafer während Waferpolierung auflädt und ablädt. Die Funktion des Pressmittels besteht in der Lieferung der Kraft, die auf die Wafer ausgeübt werden muss, die gegen das Polierkissen 1b poliert werden. Die Wafer werden durch die Waferköpfe 41 durch ein Teilvakuum gehalten, das den Waferköpfen 41 direkt durch eine Vakuumleitung 45 zugeführt wird. Das Pressmittel 42 kann ein pneumatischer Zylinder sein, der an eine Druckluftleitung 46 angeschlossen ist, um Pneumatikkraft zu liefern. Alternativ kann das Pressmittel 42 ein Elektromotor sein, der durch einen elektrischen Draht geliefert wird, oder kann irgendein anderes geeignetes Mittel sein, das mechanische, hydraulische oder pneumatische Kraft liefern kann, welche an die Wafer angelegt wird, die gegen das Polierkissen 1b gehalten werden. Da die durch die Erfindung geschaffene Waferhandhabungsstruktur 40 keine Dreh- und Querbewegung benötigt, werden der angetriebene Motor und verknüpfte komplexe Steuermechanismen, die in den Poliermaschinen des Standes der Technik benötigt werden, beseitigt und vermieden.
  • Die Wafer werden in einer vertikalen Position gehandhabt, wenn die Ausrichtung der Maschine vertikal in bezug zu einem Boden ist, auf dem die CMP-Maschine fest installiert ist. Die Waferhandhabungsstruktur 40 kann sich in einer Vielzahl von Weisen abhängig von den Ausrichtungen der Poliermaschinen zu dem Polierkissenriemen 1 hin bewegen. Wie in 4C gezeigt ist, ist eine obere Beladewaferhandhabungsstruktur 40 dargestellt, in der die Handhabungsstruktur 40 sich von einer oberen Seite der CMP-Maschine zum Polierriemen 1 hin bewegt, bis die Waferköpfe 41 passend mit den Waferhaltern 23 entlang des vertikalen Elements 5c der feststehenden Riemenscheibenbefestigung 5 ausgerichtet sind. Die pneumatischen Zylinder oder anderen Pressmittel 42 werden sich ausstrecken, um die Waferköpfe 41 von ihren jeweiligen Handhabungsarmen 47 weg zu bewegen, bis die Waferköpfe 41 den Kissenriemen 1 berühren, wobei ein Druck von ungefähr 7 psi abhängig von der erforderlichen Entfernungsdicke ausgeübt wird. Es ist von der mit dem CMP-Prozess verwandten bekannten Technik vorstellbar, dass ein Satz von Wafern poliert werden könnte, während ein anderer Satz (oder mehrere Sätze) aufgeladen und entladen werden. Zusätzlich können die Waferköpfe 41 ortsfest auf den Handhabungsarmen 47 sein, ohne eine jegliche wesentliche Bewegung, oder alternativ können die Waferköpfe 41 entlang einer Achse senkrecht zum Polierriemen 1 rotiert werden oder irgendeine oszillierende Drehung durch Aufwärts- und Abwärtsbewegung entlang der Handhabungsarme 47, oder eine Kombination von beidem ausführen.
  • Die mehrfach ausgerichtete CMP-Riemenmaschine kann Polieren sowohl für die primäre als auch Nacharbeitsstufen unter Verwendung verschiedener Polierkissen durchführen. Nach Abschluss des Polierprozesses (sei es primär oder Nacharbeit), werden die pneumatischen Zylinder 42 eingezogen, was die Wafer veranlasst, sich von dem Polierkissenriemen wegzubewegen, wo die Wafer entweder hinsichtlich dielektrischer Dicke oder für die nächste Polierstufe kontrolliert werden. Nachdem der Wafer zurück zur Belade- und Endladestation gelangt ist, wird er vor Endverwendung zu einer Reinigungsstation geschickt werden.
  • Die Anzahl von Waferhaltern und folglich die Anzahl von Wafern, die an der mehrfach ausgerichteten CMP-Riemenmaschine gemäß der vorliegenden Erfindung angebracht und durch diese poliert werden kann, kann mit den Größen und Längen des Polierriemens 1 und den Größen der Waferhalter 23 variiert werden. Zum Beispiel werden zu Darstellungszwecken ohne Eingrenzung zwei Waferhalter 23 auf jeder Seite der feststehenden Riemenscheibenbefestigung 5 für 8 Zoll Wafer verwendet, und ein Waferhalter wird für 12 Zoll Wafer verwendet. Es ist offensichtlich, dass mit Größen- und Längenänderung des Polierriemens 1 die mehrfach ausgerichtete CMP-Riemenmaschine einfach mehr Waferpolieren zur gleichen Zeit aufnehmen kann, ohne komplexe mechanische Steuermechanismen hinzuzufügen. Daher kann die durch die vorliegende Erfindung geschaffene CMP-Maschine nicht nur den Verarbeitungsdurchsatz für kleinere Wafer verbessern, sondern auch einfache Flexibilität zum Bearbeiten größerer Wafer liefern. Die Waferhalter 23 werden nebeneinander (im Fall von 8 Zoll Wafern) positioniert, um so eine jegliche Beschädigung anderer Wafer in dem Fall zu verhindern, dass ein Wafer während des Polierprozesses zerbricht. Die Waferhalter 23 sind etwas größer als der Durchmesser des Wafer, um so jegliches Riemendurchhängen oder Hinabbiegen zur Sicherstellung zu verhindern, dass die Waferoberfläche immer parallel zur Oberfläche des Kissenriemens 1 ist. Polierkissenaufbereiter (nicht gezeigt), die im Stand der Technik gut bekannt sind; können an gegenüberliegenden Seiten des Riemens 1 angeordnet werden (bevor der Riemen um die Riemenscheibe 2 und 6 läuft), um so jegliche Partikel von dem Polierkissen 1b zu entfernen und die Leistung des Riemens 1 zu verbessern, bevor er sich zum nächsten Wafer bewegt.
  • Die durch die Erfindung geschaffene CMP-Maschine ist weiter vorteilhaft durch ihre räumliche Flexibilität, die aus einer Mehrzahl von Ausrichtungen der CMP-Maschine in bezug zu einem Installationsraum resultiert, auf dem die CMP-Maschine fest angebracht werden kann. Die Ausrichtung der CMP-Maschine wird durch die Ausrichtung des Polierriemens 1 (oder der Waferhalter 23) in bezug zum Boden definiert, auf dem die CMP-Maschine installiert ist. Wenn die Ausrichtung des Polierriemens 1 und/oder der Waferhalter 23 sich an einer vertikalen Position in bezug zum Boden befindet, wird die Maschine als vertikal ausgerichtet bezeichnet, wie in 1 gezeigt ist. Eine alternative Art zum Aufbau der CMP-Maschine ist in 11 gezeigt, in der der Polierriemen vertikal in bezug zum Boden rotiert, die Ausrichtung des Polierriemens horizontal zum Boden ist, und die Maschine als horizontal ausgerichtet bezeichnet wird. Ungeachtet dessen, ob die CMP-Maschine als vertikal oder horizontal ausgerichtet bezeichnet wird, kann die durch die Erfindung geschaffene CMP-Maschine räumlich in einer Mehrzahl von Ausrichtungen in bezug zum Installationsraum angeordnet werden, so dass der Wafer oder irgendein anderes Substrat durch die Handhabungsmittel in bezug zu einer Polierebene, die durch das Riemenpoliermittel 1 definiert wird, in solcher Weise gehalten wird, dass der Normalenvektor zu der genannten Polierebene im wesentlichen nichtparallel, oder vorzugsweise senkrecht zu dem Schwerebeschleunigungsvektor ist.
  • Der Vorteil der mehrfach ausgerichteten CMP-Riemenmaschinen gemäß der vorliegenden Erfindung kann besser durch Anführen eines Beispiels unter Bezugnahme auf 10 verstanden werden, in der eine Mehrzahl von mehrfach ausgerichteten CMP-Riemenmaschinen in verschiedenen Weisen angeordnet werden kann, um verschiedene Anforderungen und Zwecke unterzubringen, wie zum Beispiel Raumsparen, Verbessern von Waferbeladungs- und Entladungseffizienz, etc. wie es in 10A für eine Konfiguration von Boden zu Oberseite; 10B für eine Konfiguration von Rückseite an Rückseite; und in 10C für eine nebeneinanderliegende Konfiguration gezeigt ist.
  • Die Vorteile der mehrfach ausgerichteten CMP-Riemenmaschine im Vergleich zu der konventionellen CMP-Drehmaschine werden deutlicher. Erstens bewegt sich der Riemen 1 linear mit einer Geschwindigkeit in einem Bereich von 10 bis 80 Fuß/s abhängig auch von dem Material der Wafer und der erforderlichen Entfernungsdicke. Diese lineare Bewegung ist einheitlich und die Geschwindigkeit ist die gleiche an allen Punkten auf dem Riemen und auf den Wafern. Dies wird zu einem einheitlichen Poliergrad für alle Punkte der Wafer führen und wird die Kanteneffekte beseitigen, die unerwünscht mit den konventionellen CMP-Drehmaschinen verknüpft sind. Zweitens verwendet die mehrfach ausgerichteten CMP-Riemenmaschine eine lineare Bewegung zum Polieren der Wafer anstelle von drei Drehbewegungen, wodurch umfassend die Struktur der CMP-Maschine vereinfacht wird, Maschinenherstellungs- und Wartungskosten reduziert werden, und Betriebszuverlässigkeit erhöht wird. Außerdem wird die lineare Bewegung mit dem einheitlichen, auf den Wafer ausgeübten Druck das Risiko verringern, Wafer zu zerbrechen, und ist besonders geeignet für grössere Wafer, die folglich immer teuerer werden. Außerdem wird die Bodenanbringungsfläche (Aufstandsfläche) einer vertikal ausgerichteten CMP-Riemenmaschine dramatisch verkleinert, da eine solche vertikale Ausrichtung bedeutend weniger Bodenraum benötigt. Da eine solche vertikal ausgerichtete Maschine in Tandemform angeordnet werden kann, kann sie mehrere Wafer zu einer gegebenen Zeit zum Erhöhen des Polierdurchsatzes handhaben, im Gegensatz zu den konventionellen CMP-Drehmaschinen.
  • Die CMP-Riemenmaschine kann auch zum Polieren eines jeglichen Substrats oder Gegenstands mit einer flachen Oberfläche verwendet werden, einschließlich, jedoch ohne Eingrenzung, für Wafer, Flachbildschirmen (FPD), Festplattenlaufwerk (HDD), Festplattenmagnetlaufwerke oder jegliche andere Gegenstände, die poliert werden müssen.
  • Es wird nun für die Fachleute in diesem Gebiet offensichtlich sein, dass andere Ausführungsformen, Verbesserungen, Details und Verwendungen konsistent mit dem Schreiben der vorhergehenden Offenbarung und innerhalb des Umfangs der anliegenden Patentansprüche vorgenommen werden können.

Claims (43)

  1. Vorrichtung zum Polieren eines Gegenstands mit einer flachen Oberfläche, umfassend: einen Ringriemen (1) für chemisches mechanisches Polieren der genannten flachen Oberfläche; ein Antriebsmittel (7, 8), das koppelnd mit dem genannten Riemen (1) zum Rotieren des genannten Riemens (1) verbunden ist; ein Haltemittel (5, 21) zum Haften des genannten Riemens (1); ein Handhabungsmittel (40, 42) zum Halten des Gegenstands gegen den genannten Riemen (1) zum Polieren; und wobei die genannte Vorrichtung auf einem Installationsraum installiert ist und eine solche räumliche Ausrichtung hat, dass die genannte flache Oberfläche des Gegenstand durch das genannte Handhabungsmittel (40, 42) in bezug zu einer Polierebene, die durch den genannte Riemen begrenzt wird, in solcher Weise gehalten wird, dass der Normalenvektor zu der genannten Polierebene nichtparallel zu dem Schwerebeschleunigungsvektor ist.
  2. Vorrichtung nach Anspruch 1, die ferner eine Basis (29) aufweist, an der das genannte Haltemittel (5, 21) fest angebracht wird.
  3. Vorrichtung nach Anspruch 1 oder 2, bei der der genannte Ringriemen (1) ein Polierkissen (1a) umfasst, das fest an einer Außenfläche des genannten Ringriemens (1) befestigt ist.
  4. Vorrichtung nach Anspruch 1, 2 oder 3, bei der das genannte Haltemittel (5, 21) eine erste Riemenscheibe (2) und eine zweite Riemenscheibe (6) aufweist, wobei die genannte erste Riemenscheibe (2) durch das genannte Antriebsmittel (7, 8) angetrieben wird, welches den genannten Ringriemen antreibt, der um die genannte erste Riemenscheibe (2) und die genannte zweite Riemenscheibe (6) läuft.
  5. Vorrichtung nach Anspruch 4, bei der das genannte Haltemittel (5, 21) ferner eine erste Riemenscheibenbefestigung (5) und eine zweite Riemenscheibenbefestigung (21) zum Halten der genannten ersten Riemenscheibe (2) bzw. der genannten zweiten Riemenscheibe (6) aufweist.
  6. Vorrichtung nach Anspruch 5, bei der die genannte erste Riemenscheibenbefestigung (5) ferner eine Mehrzahl von Waferhaltern (23) aufweist, die entfernbar auf jeder Seite der genannten ersten Riemenscheibenbefestigung (5) an derselben gegen eine Innenfläche des genannten Ringriemens (1) gegenüberliegend dem genannten Handhabungsmittel (40, 42) angebracht sind, um einen Gegenhaft für den zu polierenden Gegenstand bereitzustellen.
  7. Vorrichtung nach Anspruch 6, bei der die genannten Waferhalter (23) in Anzahlen und Durchmessern variiert werden können.
  8. Vorrichtung nach Anspruch 7, bei der die genannten Waferhalter (23) ortsfest in bezug zu der Drehbewegung des genannten Riemens (1) sind, oder die genannten Waferhalter (23) drehend in bezug zu der Drehbewegung des genannten Riemens (1) sind, oder die genannten Waferhalter (23) oszillierend in bezug zu der Drehbewegung des genannten Riemens (1) sind.
  9. Vorrichtung nach Anspruch 5, 6, 7 oder 8, bei der die genannte erste Riemenscheibenbefestigung (5) fest an dem Grundteil (29) angebracht ist.
  10. Vorrichtung nach Anspruch 5, 6, 7 oder 8, bei der die genannte zweite Riemenscheibenbefestigung (21) ein Riemenscheibeneinstellmittel aufweist, um die genannte zweite Riemenscheibenbefestigung (21) und die genannte zweite Riemenscheibe (6) zum Steuern der Spannung in dem genannten Ringriemen (1) einzustellen.
  11. Vorrichtung nach Anspruch 10, bei der das genannte Riemenscheibeneinstellmittel ein erstes Steuermittel (26, 27) zum Steuern einer linearen Einstellbewegung der genannten zweiten Riemenscheibenbefestigung zu oder von der ersten Riemenscheibenbefestigung entlang einer Ebene, die durch den genannten Ringriemen definiert wird; ein zweites Steuermittel (14, 15) zum Steuern einer Dreheinstellbewegung der genannten zweiten Riemenscheibenbefestigung (21) um eine Achse senkrecht zu der Ebene des genannten Ringriemens (1); und ein drittes Steuermittel (24, 24a) zum Steuern einer Dreheinstellbewegung der genannten zweiten Riemenscheibenbefestigung um eine Achse der genannten linearen Einstellbewegung aufweist.
  12. Vorrichtung nach Anspruch 11, bei der das genannte Riemenscheibeneinstellmittel ferner ein Haltemittel (35) mit einer Mehrzahl von Einbaulöchern aufweist, wobei das genannte Haltemittel fest an dem genannten Grundteil (29) angebracht ist, so dass das genannte erste Steuermittel, das genannte zweite Steuermittel und das genannte dritte Steuermittel bewegbar an dem genannten Haltemittel (35) durch die genannten Einbaulöcher angebracht werden.
  13. Vorrichtung nach Anspruch 11 oder 12, bei der das, genannte erste Steuermittel einen Schaftmechanismus (18) aufweist, der aus einem runden polierten Schaft (27), einem runden Drehschaft (26) und einem Joch (36) besteht, wobei der genannte runde polierte Schaft (27) fest mit einer Unterseite eines Endes des genannten Jochs (36) verbunden ist, der genannte Drehschaft (26) entfernbar mit einem anderen Ende des genannten Jochs (36) verbunden ist, um den genannten Schaftmechanismus (18) zu bilden, durch den der genannte runde polierte Schaft (27) verschiebbar an einem der genannten Einbaulöcher des genannten Haltemittels angebracht wird, und der genannte runde Drehschaft (26) verschiebbar mit einer Einbauseite der genannten zweiten Riemenscheibenbefestigung (21) verbunden ist, um die genannte lineare Einstellbewegung der genannten zweiten Riemenscheibenbefestigung (21) zu der genannten ersten Riemenscheibenbefestigung (5) hin oder von dieser weg zu steuern.
  14. Vorrichtung nach Anspruch 11, 12 oder 13, bei der das genannte zweite Steuermittel zwei unabhängig einstellbaren Kugelschrauben (14) und Kugelmütter (15) aufweist, die beide fest, jedoch einstellbar an ihren einen Enden mit dem genannten Haltemittel (35) durch die genannten Einbaulöcher und an ihren anderen Enden mit der genannten zweiten Riemenscheibenbefestigung (21) verbunden sind, um die genannte Dreheinstellbewegung der genannten zweiten Riemenscheibenbefestigung (21) um die genannte Achse senkrecht zu der Ebene des genannten Ringriemens (1) zu steuern.
  15. Vorrichtung nach Anspruch 14, bei der die beiden unabhängig einstellbaren Kugelschrauben (14) und Kugelmütter (15) in der selben Richtung oder einer entgegengesetzten Richtung eingestellt werden können.
  16. Vorrichtung nach einem der Ansprüche 11 bis 15, bei der das genannte dritte Steuermittel ein Paar unabhängiger Einstellmittel (24, 24a) aufweist, wobei jedes der genannten unabhängigen Einstellmittel ein Ende fest an dem genannten Haltemittel (29) angebracht und die anderen Enden fest, jedoch einstellbar an der genannten zweiten Riemenscheibenbefestigung (21) aufweist, um die genannte Dreheinstellbewegung der genannten zweiten Riemenscheibenbefestigung (21) um die genannte Achse der genannten linearen Einstellbewegung zu steuern.
  17. Vorrichtung nach Anspruch 16, bei der jedes der genannten unabhängigen Einstellmittel (24, 24a) ein Paar in entgegengesetzte Richtungen gerichteter Einstellarme, die voneinander durch Halten an gegenüberliegenden Seiten der genannten zweiten Riemenscheibenbefestigung (21) getrennt sind, ein Schneckengetriebe (28) in Eingriff mit einer Einstellschraube, die an dem ersten Einstellarm angeordnet ist, und eine Feder (25) aufweist, die an einem mit Boden versehenen Loch platziert ist, welches an dem zweiten Einstellarm gegenüberliegend des genannten Schneckengetriebes (28) angeordnet ist, so dass die genannte Einstellschraube eingestellt werden kann, um die genannte zweite Riemenscheibenbefestigung festzuziehen oder zu lockern, während die genannte Feder (25) als eine Gegenkraft für die genannte Einstellschraube dient.
  18. Vorrichtung nach einem vorhergehenden Anspruch, bei der das genannte Handhabungsmittel (40, 42) eine Handhabungsstruktur aufweist, die ein Grundelement (43) mit einem Paar in entgegengesetzte Richtung gerichteter Arme (47) aufweist, die sich von diesem erstrecken, wobei jeder der genannten Arme (47) eine Mehrzahl Waferträger (41) zum Halten von zu polierenden Wafern umfasst.
  19. Vorrichtung nach Anspruch 18, bei der die genannte Handhabungsstruktur (40, 42) ferner ein Pressmittel (42) zum Ausüben von Kraft auf die Wafer gegen das genannte Polierkissen (1a) und zum Bewegen der Wafer zu dem Polierkissen (1a) hin und von diesem weg aufweist.
  20. Vorrichtung nach Anspruch 19, bei der das genannte Pressmittel (42) ein pneumatischer Zylinder oder ein Elektromotor ist.
  21. Vorrichtung nach Anspruch 18, 19 oder 20, bei der die genannte Handhabungsstruktur (40, 42) ferner eine Vakuumquelle aufweist, um die genannten Waferträger (41) in die Lage zu versetzen, Wafer unter Vakuum zu halten.
  22. Vorrichtung nach einem vorhergehenden Anspruch, bei der das genannte Antriebsmittel (7, 8) ein Elektromotor ist.
  23. Vorrichtung nach einem vorhergehenden Anspruch, bei der der genannte Installationsraum ein Erdgeschoss ist, auf dem die genannte Vorrichtung sicher installiert wird, und die genannte räumliche Ausrichtung derart ist, dass der Normalenvektor zu der genannten Polierebene senkrecht zu dem Schwerebeschleunigungsvektor ist, um die Aufstandsfläche der Vorrichtung auf dem genannten Erdgeschoss zu reduzieren.
  24. Vorrichtung nach einem der Ansprüche 1 bis 22, bei der der genannte Installationsraum eine Wand senkrecht zu einem Erdgeschoss ist und die genannte räumliche Ausrichtung derart ist, dass der Normalenvektor zu der genannten Polierebene senkrecht zu dem Schwerebeschleunigungsvektor ist, um die Aufstandsfläche der genannten Vorrichtung auf einem Erdgeschoss zu reduzieren.
  25. Verfahren zum Polieren eines Gegenstands mit einer flachen Oberfläche, umfassend: Platzieren des genannten Gegenstands in einem Handhabungsmittel (40, 42), das den genannten Gegenstand in stetigem Kontakt mit einem Ringriemen (1) hält, wobei der genannte Riemen (1) sicher an einem Installationsraum installiert wird, so dass die genannte flache Oberfläche des genannten Gegenstands durch das genannte Handhabungsmittel (40, 42) in bezug zu einer Polierebene gehalten wird, die durch den genannten Riemen (1) in solcher Weise begrenzt wird, dass der Normalenvektor zu der genannten Polierebene nicht parallel zu dem Schwerebeschleunigungsvektor ist; und Rotieren des genannten Riemens (1) durch ein Antriebsmittel (7, 8), um die genannte flache Oberfläche für eine ausreichende Zeitspanne chemisch mechanisch zu polieren, bis ein gewünschter Poliergrad für den genannten Gegenstand erreicht ist.
  26. Verfahren zum Polieren eines Gegenstands mit einer flachen Oberfläche nach Anspruch 25, bei dem der genannte Riemen ein fest auf denselben geklebtes Polierkissen (1a) aufweist.
  27. Verfahren zum Polieren eines Gegenstands mit einer flachen Oberfläche nach Anspruch 25 oder 26, bei dem das genannte Handhabungsmittel (40, 42) eine Handhabungsstruktur zum Halten des genannten Gegenstands ist, um eine gewisse Oszillationsdrehung gegen den genannten Riemen (1) zuzulassen, um einen einheitlichen Poliergrad für einen jeglichen Punkt der genannten flachen Oberfläche zu erhalten.
  28. Verfahren zum Polieren eines Gegenstands mit einer flachen Oberfläche nach Anspruch 25, bei dem Rotieren des genannten Riemens (1) umfasst, den genannten Riemen bei einer relativen Geschwindigkeit von nicht weniger als 330 Fuß/min. (100,58 m/min) zu rotieren.
  29. Verfahren zum Polieren eines Gegenstands mit einer flachen Oberfläche nach Anspruch 25, bei dem das genannte Handhabungsmittel (40, 42), der genannte Riemen (1) und das genannte Antriebsmittel (7, 8) Teile einer Vorrichtung sind und eine Mehrzahl der genannten Vorrichtung räumlich in einer solchen Konfiguration angeordnet ist, dass jede der Vorrichtungen parallel zu einer anderen installiert wird, oder wobei die genannte Gruppe von Vorrichtungen räumlich in einer solchen Konfiguration angeordnet ist, dass jede der Vorrichtungen in einer Reihe neben einer anderen in einer hintereinander angeordneten Weise ist, oder wobei jede der genannten Gruppe von Vorrichtungen auf einer anderen angeordnet ist.
  30. Verfahren nach einem der Ansprüche 25 bis 28 und 29, bei dem das genannte Handhabungsmittel (40, 42) eine Handhabungsstruktur ist, um den genannten Gegenstand fest gegen den genannten Riemen zu halten, um einen einheitlichen Poliergrad für einen jeglichen Punkt der genannten flachen Oberfläche zu erhalten.
  31. Verfahren nach einem der Ansprüche 25 bis 28, 29 und 30, bei dem die genannte nichtparallele Position des Normalenvektors zu der genannten Polierebene vorzugsweise senkrecht zu dem Schwerebeschleunigungsvektor ist.
  32. Verfahren oder Vorrichtung nach einem vorhergehenden Anspruch, bei denen der genannte Gegenstand ein Halbleitermaterial darstellt.
  33. Verfahren oder Vorrichtung nach Anspruch 32, bei denen das genannte Halbleitermaterial ein Wafer oder eine Flachbildschirm ist.
  34. Verfahren oder Vorrichtung nach einem der Ansprüche 1 bis 31, bei denen der genannte Gegenstand ein Magnetdatenspeichermaterial darstellt.
  35. Verfahren oder Vorrichtung nach Anspruch 34, bei dem das genannte Magnetdatenspeichermaterial ein Festplattenlaufwerk ist.
  36. Verfahren nach Anspruch 25, das ferner die folgenden Schritte aufweist: Platzieren eines Halters (23) gegen eine Innenfläche des genannten Riemens (1) in einer ersten Position; Platzieren des genannten Gegenstands gegen eine Außenfläche des genannten Riemens (1) in einer zweiten Position, wobei die genannte zweite Position der genannten ersten Position direkt gegenüberliegt; Einstellen einer Riemenscheibe (6), die den genannten Riemen hält, durch Bewegen der genannten Riemenscheibe entlang einer ersten Achse, Drehen der genannten Riemenscheibe um die genannte erste Achse, und Drehen der genannten Riemenscheibe um eine zweite Achse, wobei die genannte zweite Achse senkrecht zu der ersten Achse ist.
  37. Verfahren nach Anspruch 36, bei dem die genannte erste Achse horizontal zu dem genannten Installationsraum ist.
  38. Verfahren nach Anspruch 36, bei dem die genannte Platzierung des genannten Halters ferner umfasst, den genannten Halter nach oben und nach unten gegen die genannte Innenfläche des genannten Riemens zu bewegen.
  39. Verfahren nach Anspruch 36, bei dem die genannte Platzierung des genannten Halters ferner aufweist, den genannten Halter zu drehen.
  40. Verfahren nach Anspruch 36, bei dem die genannte Platzierung des genannten Gegenstands ferner umfasst, den genannten Gegenstand nach oben und nach unten gegen die genannte Außenfläche des genannten Riemens (1) zu bewegen.
  41. Verfahren nach Anspruch 36, bei dem die genannte Platzierung des Gegenstands ferner umfasst, den genannten Gegenstand zudrehen.
  42. Verfahren nach Anspruch 36, das ferner umfasst, eine Form und eine Größe für den genannten Halter (23) so zu wählen, dass der genannte Gegenstand in stetigem Kontakt mit der genannten Außenfläche des genannten Riemens (1) gegen den genannten Halter gehalten wird.
  43. Vorrichtung nach einem der Ansprüche 1 bis 22, bei der der genannte Installationsraum eine Wand senkrecht zu einem Erdgeschoss ist und die genannte räumliche Ausrichtung derart ist, dass der Normalenvektor zu der genannten Polierebene parallel zu der genannten Wand und nichtparallel zu dem Schwerebeschleunigungsvektor ist.
DE69823407T 1997-02-21 1998-02-20 Verfahren und Vorrichtung zum Polieren einer flachen Oberfläche mittels eines Gurtschleifkissens Expired - Fee Related DE69823407T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US803623 1997-02-21
US08/803,623 US6059643A (en) 1997-02-21 1997-02-21 Apparatus and method for polishing a flat surface using a belted polishing pad

Publications (2)

Publication Number Publication Date
DE69823407D1 DE69823407D1 (de) 2004-06-03
DE69823407T2 true DE69823407T2 (de) 2005-08-04

Family

ID=25187034

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69823407T Expired - Fee Related DE69823407T2 (de) 1997-02-21 1998-02-20 Verfahren und Vorrichtung zum Polieren einer flachen Oberfläche mittels eines Gurtschleifkissens

Country Status (7)

Country Link
US (2) US6059643A (de)
EP (1) EP0860239B1 (de)
JP (1) JP3911082B2 (de)
KR (1) KR100488301B1 (de)
CN (1) CN1083754C (de)
DE (1) DE69823407T2 (de)
TW (1) TW393375B (de)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6336845B1 (en) * 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US6244935B1 (en) 1999-02-04 2001-06-12 Applied Materials, Inc. Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet
US6241583B1 (en) * 1999-02-04 2001-06-05 Applied Materials, Inc. Chemical mechanical polishing with a plurality of polishing sheets
US6475070B1 (en) * 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6626744B1 (en) 1999-12-17 2003-09-30 Applied Materials, Inc. Planarization system with multiple polishing pads
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7077733B1 (en) * 2000-08-31 2006-07-18 Micron Technology, Inc. Subpad support with a releasable subpad securing element and polishing apparatus including the subpad support
US6942545B2 (en) * 2001-04-20 2005-09-13 Oriol, Inc. Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
KR20040020147A (ko) * 2002-08-29 2004-03-09 삼성전자주식회사 잉크카트리지
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
CN101987429B (zh) * 2009-08-07 2012-09-26 中芯国际集成电路制造(上海)有限公司 化学机械研磨方法和装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5618708B2 (ja) * 2010-08-27 2014-11-05 ワイエス株式会社 コンベア装置
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN113649944A (zh) * 2016-06-24 2021-11-16 应用材料公司 用于化学机械抛光的浆料分布设备
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN107457633B (zh) * 2017-07-28 2019-02-01 义乌市台荣超硬制品有限公司 一种重力恒压平磨机
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109333273A (zh) * 2018-11-26 2019-02-15 广州和兴机电科技有限公司 一种整平拉丝一体机
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2416493A (en) * 1945-02-26 1947-02-25 Herman S Newton Sanding belt machine
US2934279A (en) * 1955-06-29 1960-04-26 Minnesota Mining & Mfg Production of groundwood pulp
US3656265A (en) * 1969-10-14 1972-04-18 Schaffner Mfg Co Inc Method of making an abrasive belt
US3908316A (en) * 1974-09-04 1975-09-30 Lok Box Inc Multi-station simultaneous dual-side sanding machine
US4535568A (en) * 1983-11-18 1985-08-20 Lafave Charles H Belt sander
JPH01257558A (ja) * 1988-04-06 1989-10-13 Shigeru Hasegawa 傾斜自在型のベルトサンダー
JPH01271157A (ja) * 1988-04-20 1989-10-30 Brother Ind Ltd 磁気ディスク媒体の製造方法
EP0696495B1 (de) * 1994-08-09 1999-10-27 Ontrak Systems, Inc. Linear Poliergerät und Wafer Planarisierungsverfahren
US5593344A (en) * 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
US5575707A (en) * 1994-10-11 1996-11-19 Ontrak Systems, Inc. Polishing pad cluster for polishing a semiconductor wafer
US5791969A (en) * 1994-11-01 1998-08-11 Lund; Douglas E. System and method of automatically polishing semiconductor wafers
US5800248A (en) * 1996-04-26 1998-09-01 Ontrak Systems Inc. Control of chemical-mechanical polishing rate across a substrate surface
US5722877A (en) * 1996-10-11 1998-03-03 Lam Research Corporation Technique for improving within-wafer non-uniformity of material removal for performing CMP
US5897425A (en) * 1997-04-30 1999-04-27 International Business Machines Corporation Vertical polishing tool and method
US5928062A (en) * 1997-04-30 1999-07-27 International Business Machines Corporation Vertical polishing device and method

Also Published As

Publication number Publication date
US6059643A (en) 2000-05-09
CN1195595A (zh) 1998-10-14
US6146249A (en) 2000-11-14
EP0860239B1 (de) 2004-04-28
EP0860239A3 (de) 2000-04-05
KR100488301B1 (ko) 2005-09-14
CN1083754C (zh) 2002-05-01
JP3911082B2 (ja) 2007-05-09
DE69823407D1 (de) 2004-06-03
KR19980071770A (ko) 1998-10-26
TW393375B (en) 2000-06-11
JPH10309662A (ja) 1998-11-24
EP0860239A2 (de) 1998-08-26

Similar Documents

Publication Publication Date Title
DE69823407T2 (de) Verfahren und Vorrichtung zum Polieren einer flachen Oberfläche mittels eines Gurtschleifkissens
DE60102891T2 (de) Vorrichtung und vefahren für das kontrollierte polieren und planarisieren von halbleiterschleifen
DE69912307T2 (de) Trägerplatte mit randsteuerung für chemisch-mechanisches polieren
DE69921354T2 (de) Hin- und hergehende linear poliermaschine mit ladbarem halter
DE60018019T2 (de) Werkstückhalter und Poliervorrichtung mit demselben
DE69825143T2 (de) Vorrichtung zum polieren
DE69830374T2 (de) Verfahren und Vorrichtung zum Polieren von Halbleiterscheiben
DE69821149T2 (de) Vorrichtung zum Polieren
DE112009002112B4 (de) Polierkopf und Poliervorrichtung
DE60011193T2 (de) Eine trägervorrichtung mit einem direkten pneumatischen drucksystem, um ein wafer zu polieren, verwendet in einer vorrichtung und einem verfahren zum chemisch-mechanischen polieren
DE69737702T2 (de) Verfahren zur Herstellung von Halbleiterscheiben
DE60014994T2 (de) Verfahren und Vorrichtung zum Polieren von Halbleiterscheiben
EP0057859B1 (de) Vorrichtung zum Bearbeiten der Oberfläche magnetischer Aufzeichnungsträger
DE4130653C2 (de) Maschine zum gleichzeitigen Planhonen, Läppen oder Polieren zweier planparalleler Seiten mindestens eines in einer Aufnahme angeordneten Werkstückes
DE2132174A1 (de) Verfahren und Vorrichtung zum Herstellen eines dielektrisch isolierten Halbleitergebildes
DE102006056623A1 (de) Verfahren und System zum Steuern des chemisch-mechanischen Polierens durch steuerbares Bewegen eines Schleifmittelauslasses
WO2007014732A2 (de) Verfahren und vorrichtung zum nachbearbeiten von glasscheiben
US6340325B1 (en) Polishing pad grooving method and apparatus
DE69934652T2 (de) Mehrteiliger Polierkissen-Aufbau fór chemisch-mechanisches Polierverfahren
DE10208414B4 (de) Vorrichtung mit einem verbesserten Polierkissenaufbereiter für das chemisch mechanische Polieren
DE10153813A1 (de) Vorrichtung und Verfahren zum Polieren der Aussenumfangsabschnitte eines plattenförmigen Werkstücks
DE60113972T2 (de) Halbleiterpolierhalter und poliervefahren
DE69927935T2 (de) Verfahren und einrichtung zum chemisch-mechanischem polieren
DE60019352T2 (de) Chemisch-mechanisches Polieren mit einem bewegenden Poliertuch
DE102012215909B4 (de) Werkzeug zum chemisch-mechanischen Planarisieren mit mehreren Spindeln

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee