TW393375B - Apparatus and method for polishing a flat surface using a belted polishing pad - Google Patents

Apparatus and method for polishing a flat surface using a belted polishing pad Download PDF

Info

Publication number
TW393375B
TW393375B TW087102284A TW87102284A TW393375B TW 393375 B TW393375 B TW 393375B TW 087102284 A TW087102284 A TW 087102284A TW 87102284 A TW87102284 A TW 87102284A TW 393375 B TW393375 B TW 393375B
Authority
TW
Taiwan
Prior art keywords
grinding
patent application
scope
flat surface
wafer
Prior art date
Application number
TW087102284A
Other languages
English (en)
Inventor
Albert Hu
Burford J Furman
Mohamed Abushaban
Original Assignee
Albert Hu
Burford J Furman
Mohamed Abushaban
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Albert Hu, Burford J Furman, Mohamed Abushaban filed Critical Albert Hu
Application granted granted Critical
Publication of TW393375B publication Critical patent/TW393375B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/12Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving a contact wheel or roller pressing the belt against the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/06Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving members with limited contact area pressing the belt against the work, e.g. shoes sweeping across the whole area to be ground
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/8404Processes or apparatus specially adapted for manufacturing record carriers manufacturing base layers

Description

第87102284號專利申請案 中文說明書修正頁 Β7 I 1^ -%丨正日期:1999年3月 經濟部中央標準局員工消費合作杜印製 五、發明説明(n) 、24a均具有一第一臂和一第二臂。這些調整動作是由壓 縮設置於設在第一臂上之有底孔洞内而抵壓於可調整之帶 輪固定件21上的彈簧25與設置在第二臂上而可迫使蝸輪28 來抵靠於可調整之帶輪固定件21上向前或向後移動的調整 螺桿20等的共同動作來加以控制的。由於彈簧25和堝輪28 是分別設在互相相對的臂上,因此蝸輪28可用來將可調整 之帶輪固定件21沿著某一方向繞著軸機構18的拋光過軸27 轉動’而彈簧25則可用來將可調整之帶輪固定件21沿著相 反方向轉動。此一旋轉動作是非常的小,其範圍自y至 最大為5° 。 同時參閱圖2、3和6 ’可調整之帶輪固定件21係利用 一對與一根帶輪軸9相連接的球狀滾子式滾珠軸承17來支 撐住該可調帶輪6,而使得該可調帶輪6能夠在馬達8啟動 後繞著帶輪軸9自由地旋轉。可調整之帶輪固定件2丨具有 一頂端塊體21 a和一底端塊體21B,其二者均係可拆卸地 連接於一垂直塊體21C上,該垂直塊體係垂直地設置於該 頂端塊體21a和底端塊體21B的一半長度之間,而形成一 個可容納該可調帶輪6的空間。 頂端塊體21a和底端塊體21B係以螺釘21D來連接至垂 直塊體21C上。螺釘21D是可拆卸地鎖合在垂直塊體上, 可使得該頂端塊體21a和底端塊體21B能夠拆知,以供能 輕易地拆卸及更換帶子1。 參閱圖2、6和9,支座35進一步包含有第一對的滾 珠螺帽15,其每一者分別靠貼於設在支座35上而位在拋光 本''氏張尺度適用中國國家標準(CNS ) Α4» ( 21GX297公楚) (請先閲讀背面之注意事項 本頁) -裝. 訂 -線- 14
eW eW 五 A7 B7 濟 部 中 央 標 準 員 X 消 費 合 作 社 印 製 、發明説明( 本發明疋有關於半導體及硬碟驅勤裝置的研磨設備, 雄別疋有關於-種供晶圓、平板顯示器㈣D)和硬式驅動 绝片(HDD)製造上使用的化學機械式平面化處理(CMP)的 2置和方法,其係使用—種帶狀研磨細增加研磨晶圓的 迠,同時減少設備安裝的面積(、足跡„ )。 I面化處理技藝對於諸如高速、高運算能力中央處理 益和4度記憶晶片等新_代的UL s ζ (超大型積體電路)元 件的製程而言是相當的重要。這些元件通常包含有由金屬 和電介質材料交錯而互相形成在頂面上的多個層。金屬層 =包含有精密分㈣且具精密結構的互連線,以供傳輸電 ,氣信號。現今之ULSI中的這些線條的寬度是在〇5" Μ的 範圍内’甚或更小些。電介質層則可提供金屬互連線之間 的電氣隔離及機械式的支撐作用。這些電介質材料,通常 疋SI02,也會填塞於這些金屬線條之間的空間内。這些 金屬線條均是使用平印方法在電介質層的頂面上、、形成紋 路或是製成於其上的》對於紋路解析度低於0.5#Μ以 下的平印術而言,其需要該電介質層非常的平坦,以使其 高度上的變化能維持在數十個埃的範圍内。這種的平坦度 在整個平印範圍内,不管是局部和整體上而言均是必需要 的因為用來進行光學平印術的曝光工具的焦點淺深度( ''D0F〃)一般是低於0.5" Μ。 ν S用之用來達到較南程度晶圓平面化的技術包括有以 雷射來照射晶圓;在晶圓上塗佈旋附式(SPIn _ On)玻璃、 樹脂或其它的聚合物;以及以諸如bpsg之類的熱重流材 •本紙張尺度適用中國國家標準(CNS ) A4規格(21 OX29?公釐〉 (請先閎讀背面之法意事項再填寫本頁)
4 五、 第87102284號專利申請案 t文說明書修正頁 修* 日期:1999年3月
發明説明(12 钱|;;-#·^明示.木.®7· :4.贺質内容 經濟部中央榡準局員工消費合作杜印製 過孔19的上方和下方位置上的球狀止推滚珠軸承16上。為 使該對滚珠螺桿14能具有調整可調整之帶輪固定件21的調 整功能,在可調整之.帶輪固定件21上設有第二對的滚珠螺 帽15a,靠貼於設在對應於支座35上相對應者的位置上的 球狀止推滾珠軸承16a上。如此,可調整之帶輪固定件21 可由支座3 5經由軸機構18和該對滾珠螺桿14加以支撐住。 該等滾珠螺桿14之每一者均具有一個尖頭末端和一個平頭 末端。如圖9中所示,該平頭末端的末端,山具有内螺紋 和固疋銷14B,藉由之該平頭末端之一者可固定於可調 整之帶輪固定件21的滾珠螺帽15a内。滾珠螺桿14之尖頭 末端之每一者均係緊密但可調地螺合於支座35的滚珠螺帽 15内《這二組滾珠螺桿14和滾珠螺帽15可以相反的方向來 設置,而不會失去其等的功能。這二根滾珠螺桿14可以各 別單獨地在相反的方向上來調整,而與軸機構18相耦合, 以藉由將可調整之帶輪固定件2〗繞著一條垂直於帶子i之 平面的軸心線來加以轉動而調整該可調整之帶輪固定件Η 。另一種可能是這二根滚珠螺桿14可以沿相同方向來調整 之’以拉緊或放鬆帶子1的張力。 可調整之帶輪固定件21的調整具有下列二個目的。首 先,其可提供帶子1的侧向位置在橫過軌道上的調整。其 次,其可提供帶子張力的精確控制和調整,以供在不管帶 子1成為任何圓錐狀形狀,均能確保帶子丨内具有均勻的張 力。 帶子的張力是由支撐住可調帶輪6的可調整之帶輪固 本紙張尺度適用中國國家標準(CNS ) Α·4規格(210 X 297公釐) 請 閎 讀 背 面 之 注 項 裝 頁 訂 Θ線 15 A7 A7 五、發明説明(2 經濟部中央操準局員工消費合作社印製 B7 料來處理晶圓等。另-種替代方案是新的電介質沉積技術 ,如大氣壓TEOS-臭氧、ECR CVD和叢集式工具中的沉 積/蝕刻/沉積模式等也可使用在此用途上。但是這些習 用的平面化處理技術中沒有一個可以為較先進的製程提供 其所需要的整體性平面度。 CMP是唯一已知能夠滿足於整體尺度之極端平面度 需求的平面化處理技術。CMP是一種可同時藉由機械磨 擦和化學蝕刻作用來將表面材料自基材上移除的方法。在 CMP製程中’要加以研磨的基材,例如一晶圓,是固定 在一托架(固定頭)上的,且會被壓貼在一片浸滿著磨擦性 漿液的研磨墊上。大部份的CMp設備均需在托架和該研 磨墊之間進行相對的圓形、轨道運轉式、研光或這些運動 的組合,以形成機械式磨擦作用,而在該漿液内的蝕刻劑 則產生化學蝕刻作用。雖然CMP具有較其它現有之平面 化處理技術為佳的平面化處理能力,但是其限制是產能低 (一般是每小時20 —40片晶圓),因此而具有高使用成本。 CMP製程的材料移除率(或研磨率)可以下面已知之 PRESTOn方程式的半經驗關係式來決定之: 移除率(埃/分鐘)=K X ρ X Vrel 其中P是基體和研磨墊之間的壓力,VREL是基體上任意 一點與其在研磨墊上之投影間的相對線性速度,而κ則為 由漿液内之化學成份、處理溫度和研磨之表面等因素決定 的常數。在傳統的CMP設備中,用來固定晶圓的托架是 要被壓贴在以黏膠固定在一水平平台上的研磨墊上。除了 私紙張尺度適用中國國家標準(CNS ) Α4規格(210 X 297公釐) (請先聞讀背面之注意事項再填寫本頁)
五、發明説明( A7 B7 經濟部中央椟準局員工消費合作社印製 ㈣的旋轉外,該平台也會旋轉,以便在晶圓上的所有點 上得到更均句的相料性速度VREL的分佈。將托架及平. 台同時轉動是有其必要的,因為如果不這麼做的話,VREL 在晶圓中心處將為零’而在晶圓的周邊上成為最大。根據 PRESTOn公式,這將會在晶圓的中心處造成相當低的移 除率而在Θ3圓的邊緣產生較大的移除率。 如此很明顯的,要在整個晶圓上得到均勻的移除率, 則基體上的所有點上的VREL必須要均勻。晶圓所用的基 體是圓的,而FPD的基體是矩形的。在傳統的CMp設備中 ,其平台必須要夠大,以容納設置在靠近於平台周邊的托 架,進而使得晶圓中心處的相對線性速度能夠接近於其邊 緣的速度。此平台的一般直徑30至36英吋,而其一般的旋 轉速度是20至40RPM。一般在CMP製程中施加於晶圓上 的壓力為約7PSI。在將一個8英吋(200公釐)的晶圓以上述 的壓力壓迫於該平台上時,所施用的總力量為352pDF(碎 力里)。但是若要在研磨過的晶圓上得到微米程度的精確 度和均勻度,則該旋轉平台的變形量必須要是最小的。為 達到該目標’在現有各種CMP設備中所用的平台必須要 是大型且龐大的。 由於此種尺寸上的限制之故,前述的CMP設備將不 適合用來研磨新一代的FPD以及屬於愈來愈大之潮流内的 晶圓基體。詳細地說,如果要以前述的壓力來將一片用來 製造下一代ULSI元件的12英吋(300公釐)晶圓壓貼於一平 台上’則需要在該平台上動態式地加載一個792PDF的向 本紙張尺度適用申國國家標準(CNS ) A4規格(210X297公釐) : .fr (請先閱讀背面之注意事碩再填寫本頁)
*1T __ .- I - I In I I- 4 經濟部中戎標準局舅工-"'費合作社印製 Μ Β7 五、發明説明( 下力夏。如.此該平台必須要較目前的結構為大型且龐大。 此外,如果要在同-平台上使用多個固定頭以增加產能的 話,·例如說以6個固定頭來固定住8英吁(2〇〇公愛)的晶圓 ,則施加在+台上的總向下力量t高至2112·。如果要 將三個固定住12英忖晶圓的固定頭壓返於該平台上的話, 貝|J總向下力量將會成為2376PDF。此外,為增加產能之故 ,平台的旋轉速度必須要高些,這會需要更大的支撐結構 來支撐該平台。 因此上述的CMP製程和裝置是遠不如理想所需的, 而且需要大幅度地改良。 首先’傳統的⑽設襟的—項主要缺點是其相當有 限的產能。傳統CMP設備中所用之固定頭/平台機構間 所能得到的最大相對線性速度在實務上是15〇英吸/分鐘 ,這會嚴重地限制此一機構所能得到的最大移除率。 其次,大的安裝面積是現有之CMp研磨器的另一項 缺點,因為這些研磨器是水平方向設置的大平台。此種的. …研磨器一般具有的足跡是大於辦方英。尺,這會佔用現代 晶圓製造廠中相當寳貴的製造空間。 第三,如前面所述,現有之CMP技術中所用的固定 頭/平台機構並不適合使用在其尺寸在未來愈會增大的較 大型晶圓及FPD(亦即12英七㈣)的研磨上。此種平台必 須要是相當的龐大,以提供抵抗大執道運行旋轉的向;力 量的穩定性。 第四’如前面所述,此平台必須要相當的大,否則必 本紙張尺奴财國
(請先W讀背面之注意事項再填寫本J
7 五 、發明説明 Α7 Β7 經濟部中央標準局員工消費合作社印製 須要有-種能將晶圓掃動通過平台的擺動機構,以在整個 基體上得到均勻的相對線性速度,進而能在整個基體上達 到均勻的移除率。 。因此’其有需要能有一種新的CMP設備,其可增加 晶圓的產能,可減少設備的足跡,可用來做研磨大型晶圓 和FPD的研磨作業,並可在要加以研磨之基體上提供整體 性的均勻移除率。 因此本發明之目的在於提供一種帶狀cMp機器,直 可朝向多種方向設置,具有遠高許多的產能、較小的足跡 、均勻分佈於基體整體上的較高相對速度(亦即咖英口尺/ 分鐘),以及可在-個較目前所用者為小型的機構中得到 較高的平面化程度。 本發明之另一目的為提供一種CMp機器,其設計本 身即較適合用來研磨大尺寸晶圓和FpD,其等係較不適合 或不且以各用的研磨态和方法來加以處理的。 本發明的另一項目的為提供一種帶狀的CMP機器, 其具有較小的結構’可以在不需要如同現有之旋轉式平么 般地增加機器尺寸即可產生高相對速度。此機ϋ設有二 個固疋不動的晶圓支撐件,可避免現有之旋轉式平台所需 要之》又置龐大支推座的需求,因此機器的設置方向最好是 朝向相對於地板空間呈垂直的位置,以將其足跡減少至低 至15平方英呎。 另—目的是要提供一種帶狀晶圓研磨機器,以增加生 產的可靠度和減少因為機器維修所造成的中斷情形,同時 ., 0J (#先閱讀背面之注意事項再填寫本頁) 1TI — —-H —« 本紙張尺度適用-中國國家_樣準_(〔叫八4規格(77^7_297公黎 • - j I - -I Hi 8 - 五、 發明説明( A7 B7 也可具有較高的產能和較佳的品質,這可使得使用成本降 低。 再另-目的是要提供一種使用本文中所提供之帶狀研 磨機盗來進行研磨作業的方法。 别述之目的可藉由本發明的CMp機器來達成之,其 可在諸如曰曰圓之類的基體的研磨表面上提供均句的研磨率 ,並可在較現有設備為小的足跡下提供較高許多的研磨基 體的產能。其較佳實施例包含有一條環狀帶子,其在空間 中最好是朝向相對於地板呈較佳的垂直方向上。在該帶子 的外側表面上以黏膠結合或固定住一研磨塾。在帶子的内 表面上其具有多個晶圓支撐件,用來在研磨的過程中 支撐晶圓用。晶圓是在進行研磨作業之前利用一機械臂處 理結構自一晶圓站處加以載入至晶圓固定頭上的,而在研 磨作業之後自該晶圓固定頭上取下的。一電馬達或相當者 用來驅動該環狀帶子運轉通過二個帶輪。一調整裝置用來 調整帶子的張力及位置,以供平順運轉。 本發明所提供的CMP機器.係使用一條具有一研磨墊 黏著至環狀帶子上的運轉的環狀帶子來代替具有研磨墊黏 著至平台上的旋轉平台。晶圓固定頭可將晶圓固定住,並 將其麗貝占至該帶子和晶圓支撐件上。在可移動的處理結構 上設置有多組的晶圓固定頭,可供在一組晶圓固定頭内的 晶圓進行研磨的同時進行晶圓的載入及取下作業。此種新 穎的CMP機H可以安裝在多個方向上。數台此種機器可 以安排在不同的位置處,以節省製造的空間。為利用此種 本紙银尺度適用中國國家標準( CNS ) Α4規格(21〇Χ297公釐) 請 閱 讀 背 λ 之 注 意 事 項 再, 畲 訂 ,r<濟部中央標準扃員工4賢合作社印f 9 五、發明説明(7 ) A7 B7 經濟部中央標準局員工消..t合作社印$'. 帶狀的研磨機器,本發明亦^ 月亦緹供一種使用此種帶狀CMP 機器來增加產能而同時減少足跡的方法。 第1圖疋认有根據本發明之較佳實施例的帶狀研磨塾 之CMP機器的示意圖式。 第2圖疋6又有根據本發明之較佳實施例的帶狀研磨塾 之CMP設備的側視圖。 第3圖是設有根據本發明之較佳實施例的帶狀研㈣ 之CMP設備的頂視圖。 第4圖顯示出根據本發明的一種處理結構;Α是該處 理結構的頂視及侧_;B顯示出該處理結構在移動或研 磨位置上的情形;示出該處理結構相對於帶狀研 磨墊的頂端載入位置。 第5圖顯示出-種轴機構’其可供進行線性及旋轉 動;A顯示出一拋光過的轴和_樞轉軸;u則顯示出 軸機構安裝在一支座上的情形。 第6圖是根據本發明之較佳實施例的可調整之帶於 定件的頂視及側視圖。 "" 第7A圖和B顯示出根據本發明之固定之帶輪固定件和 晶圓支撲件的頂視和侧視圖。 第8圖顯示出用來支撐可調整之帶輪固定件的支座。 第9圖顯示出一個球狀止推滾珠軸承,其可供進行 轉及線性運動。 ’、T% 第10Α、_和10C是根據本發明之較佳實施例的 列CMP機器的多種可能的空間配置方式。 u 運 該 固
Jill _裝! (諸先聞讀背面之注意事項再填寫本頁)
、1T 本纸張尺度劍帽跡;( CNS ) Λ4規格(210X297公f"7" ~—'-—~~ -10 - B7 B7 經濟部中央榡率局員工消費合作社印製 5B 空 五、發明説明(8 第11圖顯不出根據本發明2CMp機器的其它設置方向 ,其中該條帶子是相對於地板呈垂直旋轉的。 參閱第1、2和3圖,其中可看到本發明之多方向式帶 狀CMP機器的較佳實施例包含有一個環狀帶子〗,具有一 研磨墊la黏著在帶子丨之外侧表面上、一基座“、—個固 定帶輪2 ’係由—固定不動的帶輪固定件5加以固定住而由 一聯結裝置7加以連接至一無刷式交流馬達8或是任何其它 適當的馬達上。聯結裝置7可以是v型皮帶或是複式鍵條 中較適合提供帶動帶子!所需之高扭矩者。此多方向式帶 狀CMP機器進一步包含有一個可調帶輪6 ’係由一個可調 整之帶輪固定件21加以固定住,可配合於其它將在下文中 加以說明το件來提供一種用來控制該可調帶輪6之一個線 性和二個旋轉調整運動的調整機構,藉由之該條帶子丄的 張力可以適當地調整及控制。帶輪2和6可以平面式或是具 突緣者。如果是使用具有突緣的帶輪的話,其將可以有於 做橫過轨道上的調整。在此較佳實施例中,在本文内將使 .. 用平面式帶輪。 ' 固定的帶輪固定件5,如第7A和B圖中所示,具有一 頂構件5a和-底構件5B,二者均和一垂直構件%形成為 一體,該垂直構件係垂直地設置在頂構件化和底構件 的一半長度之間,以形成一個能夠容納該固定帶輪2的 間。此固定的帶輪固定件5進一步包含有—板軸3、二個球 狀滾子式的滾珠軸承17和多個晶圓支撐件23。轴3貫穿過 該固定帶輪2,並橫貫過前述空間的整個長度,以連接八 "^^5·適) A4規格(210x297公釐)~ (#先閱讀背面之注意事項再填寫本頁) I--訂-------擊---I - 11 五 、發明説明( 9 A7 B7 a 經濟部中央標準局負工消費合作社印製 - 別設在頂構件5a和底構件5B上的第一和第二球狀滾子式 滾珠轴承17 ’直到該軸3上與固定的帶輪固定件5之底構件 5C相接的末端和聯結裝置7聯結嚙合住為止,以形成該固 定帶輪2的驅動機構。固定的帶輪固定件5的底構件5B是 牛固地裝設在基座29上,而該基座則是穩固地裝置在地板 空間上。晶圓支撐件23之每一者均是藉由裝設在垂直構件 5C之前或後側處的晶圓軸插銷23B之助而固定在一晶圓軸 23a上,而使得晶圓支撐件23能夠設置成和帶子1之内侧表 面緊密地接觸。 晶圓軸插銷23B係以可拆卸的方式自垂直構件5C的侧 邊,而不是自垂直構件5C的前邊或後邊,裝設至晶圓軸23 上的,以使晶圓支撐件23能夠輕易地組裝及更換。在晶圓 支撐件23和晶圓軸23a之間設有一軒限定件23C ,可防止 研磨過程中,晶圓軸23a縮至垂直構件5C内部。晶圓支撐 件23可依晶圓、元件或任何其它要加以研磨之基體或材料 的尺寸和形狀而定而具有多種的尺寸及形狀。晶圓支撐件 23的尺寸是略大於晶圓的尺寸,以供修正晶圓任何可能的 不對齊於晶圓支撐件23的情形。 同時參閱圖1、2、3、5和6,現在將討論上述的調整 機構。此調整機構包含有一支座35、一軸機構18、兩對調 整煮24和24a、一對滾球螺桿14、一對與一對調整螺桿2〇 相嚙合的蝸輪28。該支座35係以其底端固定不動地裝設在 基座29上,以提供可調整之帶輪固定件21的物理性支撐, 並支撐該軸機構18。軸機構18,如圖5A*B中所示,包含 泰紙張尺度適用中國國家樣準(.CNS ) A4規格(21〇χ297公釐 {諳先闆讀背面之注意事項再填寫本頁)
12 鯉濟部中央標準局員工·"費合作社印製 A7 —-— _ B7 五、發明説明(1〇 ) 有根拋光過的軸27、一根樞轉軸26和一輛部36。軛部36 具有二個側臂36a和一横向構件36B,其係與該二側臂36& 聯結為一體,並位在其等之間,使得該軛部36能將該拋光 過的軸27和該樞轉軸26結合在一起而成為單一個物理單元 ,以使得該拋光過之軸27的一末端成為可拆卸地連接至扼 部36之橫向構件36B的底侧上,而樞轉軸%則以橫侧向之 方向連接於軛部36的二側臂36a之間,以形成一個凹陷部 36C,其可使得該可調整之帶輪固定件21能有某種的自由 度,以便隨著調整動作而繞著軸機構18移動。支座35具有 —拋光過的孔19,约略位其中間部位上,可供該拋光過的 軸27貫穿過支座35,並和該支座35相嚙合。同時,軸機構 18的樞轉軸26也經由一個設在可調整之帶輪固定件21的中 間部位上的搪磨孔37而與該可調整之帶輪固定件21相嚙合 ,以使得該軸機構18能夠進行二種的調整動作,其一為沿 著一條由該拋光過的孔19所定義而位在和帶子^相同平面 上的路徑來進行的線性動作,而另一者為繞著該線性動作 之軸心線的旋轉動作。利用該樞轉軸26,該軸機構18也可 以藉由該對滾珠螺桿14和該對蜗輪28間的共同作動來繞著 條垂直於帶子1之平面的軸心線轉動,這將說明於后。 、調整臂中的第-對24是固定地設置在支座35的頂端部 伤處,而5周整臂中的第二對2々a則是固地地設置在支座35 的底端部位處,以根據這些調整臂24、24a在支座%上的 安裝位置來分別提供可調整之調整固定件21的頂端及底端 部份上的調整動作。如圖2和8中所示,每一對的調整臂24 (CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
、1T ·!©. 13 第87102284號專利申請案 中文說明書修正頁 Β7 I 1^ -%丨正日期:1999年3月 經濟部中央標準局員工消費合作杜印製 五、發明説明(n) 、24a均具有一第一臂和一第二臂。這些調整動作是由壓 縮設置於設在第一臂上之有底孔洞内而抵壓於可調整之帶 輪固定件21上的彈簧25與設置在第二臂上而可迫使蝸輪28 來抵靠於可調整之帶輪固定件21上向前或向後移動的調整 螺桿20等的共同動作來加以控制的。由於彈簧25和堝輪28 是分別設在互相相對的臂上,因此蝸輪28可用來將可調整 之帶輪固定件21沿著某一方向繞著軸機構18的拋光過軸27 轉動’而彈簧25則可用來將可調整之帶輪固定件21沿著相 反方向轉動。此一旋轉動作是非常的小,其範圍自y至 最大為5° 。 同時參閱圖2、3和6 ’可調整之帶輪固定件21係利用 一對與一根帶輪軸9相連接的球狀滾子式滾珠軸承17來支 撐住該可調帶輪6,而使得該可調帶輪6能夠在馬達8啟動 後繞著帶輪軸9自由地旋轉。可調整之帶輪固定件2丨具有 一頂端塊體21 a和一底端塊體21B,其二者均係可拆卸地 連接於一垂直塊體21C上,該垂直塊體係垂直地設置於該 頂端塊體21a和底端塊體21B的一半長度之間,而形成一 個可容納該可調帶輪6的空間。 頂端塊體21a和底端塊體21B係以螺釘21D來連接至垂 直塊體21C上。螺釘21D是可拆卸地鎖合在垂直塊體上, 可使得該頂端塊體21a和底端塊體21B能夠拆知,以供能 輕易地拆卸及更換帶子1。 參閱圖2、6和9,支座35進一步包含有第一對的滾 珠螺帽15,其每一者分別靠貼於設在支座35上而位在拋光 本''氏張尺度適用中國國家標準(CNS ) Α4» ( 21GX297公楚) (請先閲讀背面之注意事項 本頁) -裝. 訂 -線- 14 五、 第87102284號專利申請案 t文說明書修正頁 修* 日期:1999年3月
發明説明(12 钱|;;-#·^明示.木.®7· :4.贺質内容 經濟部中央榡準局員工消費合作杜印製 過孔19的上方和下方位置上的球狀止推滚珠軸承16上。為 使該對滚珠螺桿14能具有調整可調整之帶輪固定件21的調 整功能,在可調整之.帶輪固定件21上設有第二對的滚珠螺 帽15a,靠貼於設在對應於支座35上相對應者的位置上的 球狀止推滾珠軸承16a上。如此,可調整之帶輪固定件21 可由支座3 5經由軸機構18和該對滾珠螺桿14加以支撐住。 該等滾珠螺桿14之每一者均具有一個尖頭末端和一個平頭 末端。如圖9中所示,該平頭末端的末端,山具有内螺紋 和固疋銷14B,藉由之該平頭末端之一者可固定於可調 整之帶輪固定件21的滾珠螺帽15a内。滾珠螺桿14之尖頭 末端之每一者均係緊密但可調地螺合於支座35的滚珠螺帽 15内《這二組滾珠螺桿14和滾珠螺帽15可以相反的方向來 設置,而不會失去其等的功能。這二根滾珠螺桿14可以各 別單獨地在相反的方向上來調整,而與軸機構18相耦合, 以藉由將可調整之帶輪固定件2〗繞著一條垂直於帶子i之 平面的軸心線來加以轉動而調整該可調整之帶輪固定件Η 。另一種可能是這二根滚珠螺桿14可以沿相同方向來調整 之’以拉緊或放鬆帶子1的張力。 可調整之帶輪固定件21的調整具有下列二個目的。首 先,其可提供帶子1的侧向位置在橫過軌道上的調整。其 次,其可提供帶子張力的精確控制和調整,以供在不管帶 子1成為任何圓錐狀形狀,均能確保帶子丨内具有均勻的張 力。 帶子的張力是由支撐住可調帶輪6的可調整之帶輪固 本紙張尺度適用中國國家標準(CNS ) Α·4規格(210 X 297公釐) 請 閎 讀 背 面 之 注 項 裝 頁 訂 Θ線 15 五、發明説明( 13 A7 B7 經濟部中央樣準局員工謂費合作社印製 定件21的線性動作來加以控制的。如上所述,該可調整之 帶輪固定件21可以以多種方式來加以調整,以得到所需要 的帶子張力。例如說由與軸機構18相耦合之滾珠螺桿14的 動作所提供的調整機制即可供此目的之用,以供在帶子i 之二侧上形成相等的張力。在帶子丨中之張力利用該二根 獨立的滾珠螺桿加以調整之後,橫向軌道即可以下面所述 的二種旋轉動作來加以調整。 除了可調帶輪6所需之繞著可調整帶輪6之軸9的旋轉 和可調帶輪6沿著帶子!之平面的線性運動之外,横向軌道 的調整是由具有二個自由度的運動(亦即繞著二條垂直抽 心線的旋轉運動)來加以控制的。更詳細地說,第一條旋 轉軸心線是位在和該線性運動相同的平面上(垂直於可調 帶輪6的轴9)’並穿過軸9的中間點。第二條旋轉轴心線是 位在個垂直於上面由第—旋轉轴心線所定義之第—平 的平面上’同時也穿過可調帶輪6之轴9的中間點。此旋 動作可繞著插置於抛光過之孔19内的抛光過軸的一個 柱狀部份來進行的。如此,除了由帶子i所帶動的旋轉 動外,該可調帶輪6具有三個調整運動的自由度,即— .線性及二個旋轉。 回頭參閱第1和3圖以進一步說明晶圓支撐件Μ。如上 面所I™的’晶圓支擇件可依要加以研磨之晶圓或半導體 :件的直姊形狀而可❹種的尺寸及形狀。在本發明 :個較佳實施例中’晶圓支撐件23是扁 有圓滑的邊绥,^ 、’如第7圖中所示。晶圓支撐件23是用來保 面 轉 圓 運 個 的 具 (讀先閱讀背面之注意事項再填寫本頁) -5 —„— -.1 I I -1. 16 五、發明説明( 14 Α7 Β7 經濟部中央標準局員工消費合作社印製 持f狀研磨墊的扁平狀態,以減少在研磨過之晶圓上所可 能會造成的任何邊緣效應。為利用此種設計,可以在帶子 1之外側表面一正相對於相關之晶圓支撐件23的位置上便 利的安排或設置一晶圓處理結構4〇,以使得晶圓能夠被固 定及壓迫於設有設有研磨墊的研磨帶子丨上。晶圓支撐件 可以設計成能繞著一條垂直於研磨帶子丨的軸心線來轉動 ,或是能藉由沿著垂直構件5 C上下移動而做某種的擺動 式方疋轉運動或疋這二者的組合。在做為本發明的一個較佳 只Μ例的另一種情形中,晶圓支撑件是在固定的帶輪固定 件5的垂直構件5C上固定不動的。在此種情形中,研磨過 程中所僅有的運動是環狀帶子丨的旋轉動作。 現在參閱第4Α、Β和C圖,以進一步說明晶圓處理結 構40。如上所述,晶圓通常是使用能將晶圓加以移入及移 出該载入暨取下站(未顯示)内的晶圓處理結構4〇來將其等 載入及取下的。晶圓處理結構4〇包含有一個具有突緣的基 部43和一對自其自上延伸出的平行處理臂叼。這些處理臂 之每一者均包含有多個晶圓固定頭41,用來固定住晶圓。 曰曰圓固定頭41之每一者均係一體地連接一個壓迫裝置42,. 其可構成為處理臂47的一個内部結構。此壓迫裝置可在晶 圓處理結構40沿著一條生產線或是一條貫穿過一個位在突 緣基部43之中間部位上的軸樞軸44之軌道移動時,縮入 處理臂47内。此壓迫裝置可以在晶圓處理裝置4〇載入、 下晶圓及在晶圓研磨過程中,延伸而突出處理臂之外。 迫裝置的此一功能是要提供必須要施加至正在研磨的晶圓 至 取 壓 (讀先閱讀背面之注意事項再填寫本頁) 訂 r . ! -J r r Μ 17 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(15) 上,以將其推麈至研磨塾1B上的力量。晶圓是以部份真 空的方式由晶圓固定頭41加以固定住的,該部份真空是由 真空管線45直接供應至晶圓固定頭上的。壓迫裝置42可以 是一氣壓缸,其連接至一壓縮空氣管線46上,以提供氣動 力量。另一種方式是該壓迫裝置42可以是一電馬達,其可 由一條電線加以供電,或者是任何可以提供要施加至被壓 貼於研磨墊1B上之晶圓上的機械、液壓或氣屋力量的其 它適當裝置。由於本發明所提供的晶圓處理裝置4〇並不需 要做旋轉和橫向移動,因此可省略及避免習用之研磨機器 所需要有的驅動馬達及相關的複雜控制機構。 晶圓疋在此機器的方向是垂直於架設此Cmp機器之 地板時,以垂直的位置來加以處理的。晶圓處理結構4〇可 依此研磨機器的方位而定以多種方式來朝向設有研磨墊的 帶子1移動。如第4C圖中所示,其顯示一個頂端載入晶圓 處理結構40,其中處理結構40可自CMp機器之頂端側朝 向研磨帶子1移動,直到晶圓固定頭41沿著固定的帶輪固 •定件5之垂直構件5C而對齊於晶圓支撐件23。氣壓缸或其 它的壓迫裝置42可以延伸出來將晶圓固定頭41移離它們各 自的處理臂47,直到晶圓固定頭41碰觸到該設有研磨塾的 帶子1,而可依所需的移除厚度而施用一個約咖的壓力 。其可以自和CMP製程有關已知技藝中想像得知,可以 在-組晶圓進行研磨時,將另一組(或多組)晶圓加以載入 或取下。此外,晶圓頭固定41可以是固定在處理臂Ο上, 而不需要有任何的動作,或者在另一種方式中,晶圓固定 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨〇><297公楚) (諳先聞讀背面之注意事項再填寫本頁)
、1T -I© 18 經 濟 部 中 標 準 -消 費 合 作 社 印 製 A7 ______ B7 五、發明説明(16 ) —'" 頭41可以沿著一條垂直於研磨帶子1的軸心線旋轉,或是 沿著處理臂47上下移動以做某種的擺動式旋轉或是二者的 組合》 此種多方向式帶狀CMP機器可以藉由使用不同的研 磨墊而能在主要及修整(T0UCH up)二階段中均能做研磨 作業。在研磨過程(不論是主要或修整)完成後,氣壓缸“ 會縮回,使得晶圓移離設有研磨墊的帶子,在此時晶圓會 被針對其電介質厚度或為下一研磨階段而加以監。曰 曰曰 圓回到載入暨取下站後,其會在最後使用前先被送至清洗 站0 晶圓支撐件的數目和可裝設而由根據本發明之多方向 式帶狀CMP機器來加以研磨的晶圓的數目可依據研磨帶 子1的尺寸和長度,以及晶圓支撐件23的尺寸而變。例如 說,在專供示範而不做限制之用的情形下,若在固定之帶 輪固定件5之每一側上均設有二個供8英吋晶圓使用的晶圓 支撐件23和一値供12英吋晶圓使用的晶圓支撐件。很明顯 的,利用著研磨帶子1之尺寸及長度的改變,此多方向式 Τ狀CMP機器可以輕易地在同時間内進行更多的晶圓研 磨作業,而不需要加設複雜的機械控制機構。因此,本發 明所提供的CMP機器不僅可以增進較小晶圓的處理作業 產能,同時也可提供更大彈性,以供處理較大的晶圓。晶 圓支撐件23是設置成邊靠邊的方式(在8英吋晶圓的情形 ,以防止在研磨過程中有晶圓破裂的情形時對其它的晶圓 造成損傷。晶圓支撐件23是略大於晶圓的直徑,以防止帶 尺度顧中關€鮮(CNS ) Α4規格(21GX297公釐了~--;--- f諳先聞讀背面之注意事項與填寫本頁) € 、1Τ 19 五、發明説明( 17 A7 B7 經濟部中央標隼局員工消費合作杜印製 子的任何鬆他和下彎的情形,進而確保晶圓的表面一定能 平行於設有研磨塾之帶子〗的表面。在帶子丨的另一侧上( 在帶子回轉過帶輪2和6之前)可以設有此技藝中所熟知的 研磨墊修整器(未顯示),以在研磨墊1B移至下一片晶圓上 之前將所有的顆粒自其上移除,而改善帶子〗的性能。 本發明的此CMP機器的另一優點在於此CMp機器相 對於可穩固安裝此CMP機器之安裝空間的多種安裝方向 所提供的空間上的彈性。此(:]^1}機器的方向是由研磨帶 子1 (或是晶圓支撐件2 3 )相對於此c M p機器安裝之地板的 方向來加以定義的。如果研磨帶子丨或晶圓支撐件Μ的方 向是相對於地板位在垂直的位置上的話,則此機器即稱為 垂直方向’如圖1中所示。另外的一種設立此CMp機器的 方法是顯示在圖11中,其令研磨帶子是相對於地板呈垂直 轉動的,此研磨帶子的方向是相對於地板為水平的,而該 機器即稱為水平方向。無論在任一種情形中,不管該 機器是垂直或水平方向的,本發明所提供的CMp機器均 可相對於安裝空間而安排在多種方向上,以使得晶圓或任 何由處理裝置加以相對於該帶狀研磨裝置丨所定義之研磨 平面固定住的其它基體均能使該研磨平面的法向量大致上 不平行於’或最好是垂直於重力加速度的向量。 根據本發明之多方向式帶狀CMP機器的優點可藉著 參閱圖10的例子而更清楚地瞭解,其中有多個多方向^帶 狀CMP機器可以不同的方式力口以安排,以配合不同的需 米及目的,例如節省空間、增進晶圓載入及取下的效率= 請 閲 讀 背 面 之 注 意 事 項 本 訂 I 馨 本紙張尺度適用中國國家標準(CMS ) Α4規格(210X297公麓) 20 五 '發明説明( A7 B7 經濟部中央標貴If作社ί 々,S 中所不是—種自底疊至上的結構;而第蘭 則為一 M靠背的結構·,第咖圖則為邊靠邊的結構。 和S用的旋轉式CMp機器相比較下,此多方向式帶 狀CMP機器的優點就狼明顯。首先,帶子丄是依晶圓的材 料和所而移除的厚度而定而以範圍在ι 〇至8 〇英口尺/秒的速 度線性移動。此種線性運動是均句的,且在帶子和晶圓上 的所有點上均為相同的。這可提供晶圓上所有點上的均勻 .研磨1 ’並可消除習用旋轉式CMp所相關之不良邊緣效 應。其:欠,此種多$向式帶狀CMp機器係利用一個線性 運動代替三個旋轉運動來研磨晶圓,因此可大幅度地簡化 CMP機器的結構、減低機器製造及維修的成本,並增加 作業的可罪度。此外,此種具有均勾麗力施加於晶圓上的 線f生運動可減少晶圓破裂的風險,而且特別適合於愈來愈 叩貝的較大型晶圓。此外,垂直方向的帶狀cMp機器的 地板安裝面積(足跡)可大幅度地減低,因為此種垂直的方 向僅需要遠少許多的地板空間。此種垂直方向的機器可以 縱排排列,其可在給定的時間內處理多片晶圓,以增加研 磨的產能,這不同於習用的旋轉式CMp機器。 此種帶CMP機器亦可用來研磨任何具有平坦表面的 基體或物體,包括有晶圓、平板顯示器(FPD)、硬式 驅動碟片(HDD)、硬式碟片磁性驅動器或任何其它需 要研磨的物體。 熟知此技藝之人士當可知曉,其可在與前述說之精神 及文字相符合且屬於本發明之範疇的情形下有著其它的實 本紙張尺度適用中國國家#準(CNS .) 規格(210X297公潑) (讀先聞讀背面之注意事項再填寫本頁) ·[裝ΐτί ----1® ^ ί -_| ---- ilfi ^^i—·· » HI In . 21 kl B7 五、發明説明(19 )施例、改良、細部和使用上的變化。 (讀先閲讀背面之注意事項再填寫本頁) 訂 1® 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) -22 - B7 五、發明説明(20 ) 元件編號之說明: 1··.環狀帶子 1 a...研磨塾 1B·..研磨墊 2...固定帶輪 3···軸 5…固定之帶輪固定件 5 a...頂構件 5B...底構件 5C…垂直構件 6.··可調帶輪 7…聯結裝置 8…無刷式交流馬達 9…帶輪軸 14…滾珠螺桿 14a..·内螺紋 14B…固定銷 15…滾珠螺帽 15 a...滾珠螺帽 16…滾珠軸承 16a·..滾珠軸承 17…球狀滾子式滚珠轴承 18…轴機構 19…搬光過的孔 20…調整螺桿 21…可調的帶輪固定件 21a…頂端塊體 21B…底端塊體 21C…垂直塊體 21D·.·螺釘 23…晶圓支撐件 23a…晶圓轴 23B…晶圓轴插銷 23C···軸限定件 24···調整臂 24a_·.調整臂 25··.彈簧 2 6...枢轉轴 27···拋光過的軸 28.··蝸輪 29^座 35…支座 3 6 · ·.輛部 36a...侧臂 36B···横向構件 本紙張尺度適用中國國家標準(CMS ) A4規格(2l0X297^t ) A7 B7 五、發明説明(21 ) 3 6C···凹陷部 37.. .搪磨孔 4 0...晶圓處理結構 41…晶圓固定頭 42.. .壓迫裝置 43.. .具有突緣的基部 44.. .軸樞軸 45.. .真線管線 46…壓縮空氣管線 47·.·處理臂 經濟部中央標準局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4規格(210X:297公釐) 24

Claims (1)

  1. A8 B8 C8 D8 申请專利範圍 種用來研磨具有平坦表面之物體的裝置,包含有: —研磨裝置,用來研磨該平坦表面; 驅動裝置’連接至該研磨裝置上,用來旋轉該 研磨 裝置; —固定裝置,用來固定住該研磨裝置; —處理裝置’用來將該物體固定於該研磨裝置上 ’以進行研磨作業;以及 該袭置係可在空間上相對於供該裝置穩固固定住 的女裝空間安排成多種的方向,使得由該處理裝置加 以相對於該研磨裝置所定義的研磨平面固定住的物體 的平坦表面是成為使垂直於該研磨平面的法向量大致 上不平行於重力加速度之向量者。 .根據申凊專利範圍第1項之裝置,可進一步包含有一基 座’可供該固定裝置固定地安裝在其上' 3·根據申請專利範圍第!項之裝置,其中該研磨裝置包含 有一條環狀的帶子,具有二研磨墊穩固地固定在該條 環狀帶子的外侧表面上。 4·根據中請專利範圍第旧之裝置,其中該物體是—半導 體材料。 5.根據專·圍第4項之裝置,其中該半導體材料是 一片晶圓或是一個平板顯示器。 6·根據申請專利範圍第旧之裝置,其中該物體是—種磁 性資料儲存材料。 L--------·~φ 裝------ΐτ-----參 (請先閎讀背面之注意事頃再填寫本頁} 經濟部中央標準局員-X消費合作社印製 25
    經濟部中央標準局貞-i消費合作社印製
    •根據專職㈣6項之裝置,其中該磁性資料儲存 材料是一種硬式驅動碟片。 8·根據申請專利範圍第1JM之裝置,其中該固定裝置包含 有—個第一帶輪和一個第二帶輪,該第一帶輪係由該 驅動震置加以驅動,其可帶動該環狀帶子繞著該第一 帶輪和第二帶輪運轉。 9·根據中請專利範圍第8項之裝置,其十該固定裝置進一步包含有第一帶輪固定件和第二帶輪固定件,分別 來固定住第一帶輪和第二帶輪。 10·根據中請專利範圍第9項之裝置,其中該第—帶輪固A 件進-步包含有多個晶圓支擇件,可拆卸地裝設在索 第土帶輪固疋件的二側上,而在和該處理裝置相反相 上靠貼於該環狀帶子的内側表面上,以提供對於要力t 以研磨之物體的反向支撐作用。 U·根據申請專利範圍第10項之裝置,其中該等晶圓支稽 件在數目及直徑上可以變化。 艮斜請專利範圍第9項之裝置,其#該第—帶輪固定 件係穩固地裝設在該基座上。 13.根據申請專利範圍第9項之裝置,其中該第二帶輪固定 件包含有帶輪調整裝置,用來調整該第二帶輪固定件 和該第二帶輪,以控制該環狀帶子的張力。 根射請專利範圍第13項之裝置,其;該帶㈣整裝 置包含有第一控制裝置,用來控制第二帶輪固定件沿 著由該環狀帶子所定義之平面朝向或遠離第一帶輪固 用 定 (請先閎讀背面之注意事項ΐ填寫本頁) ♦ 裝· #----- 本紙張尺度朝巾賴家鮮(CNS ) A4^#· ( 210X297公釐— 26
    面 之 注
    頁 訂
    翅濟部中央檩準局員-X.消費合作、杜印製 A8 、 B8 ~~~-------D8 +請專利細 _ :--—~- 可輪固疋件上’以控制該第二帶輪固定件繞著該條垂 直於該環狀帶子之平面的軸心線的旋轉調整動作。 18·根據申請專利範圍第17項之裝置,其中該二個可獨立 調整的滾珠螺桿和滚珠螺帽係可在相同方向或相反方 向上調整的。 以根據申請專利範圍第14項之裝置,其中該第三控制裝 置包含有-對獨iL的調整袭£,該等獨立的調整農置 母者均具有一末端穩固地安裝於該支撐裝置上,而 另一末端則緊密但可調地安裝於該第二帶輪固定件上 以控制该第二帶輪固定件繞著該線性調整運動之軸 心線的旋轉調整動作。 2〇·根據申請專利範圍第19項之裝置,其令該等獨立調整 的裝置每一者均包含有一對相面對的調整臂,係藉由 固疋在該第二帶輪固定件的二相對側上而互相分隔開 ,一蜗輪與一根位在第一調整臂上的調整螺桿相嚙合 ,以及一彈簧設置在一個位在第二調整臂上而與該蝸 輪相對的有底孔洞内’使得該調整螺桿可以調整來鎖 緊或放鬆該第二帶輪固定件,而該彈簧則用來做為該 調整螺桿的反作用力量。 21·根據申請專利範圍第1項之裝置,其中該處理裝置包含 有一種處理結構’包含有一基部構件,其具有一對相 面對的臂部自其上延伸出,該等臂部之每一者均具有 多個晶圓托架,用來固定住要加以研磨的晶圓。 22·根據申請專利範圍第21項之裝置,其中該處理結構進 本紙張尺度適用中國國家標準(CNS ) A4規格(2i〇X297公幾:) 28 (請先閣讀背面之注意事項再·填寫本頁)
    申請專利範圍 一步包含有一壓迫裝置,用來施加力量於晶圓上,以 抵壓於該研磨墊上,並用以將晶圓朝向或遠離該研磨 墊移動。 23 ·根據申請專利範圍第22項之裝置,其中該壓迫裝置是 一氣壓缸。 24·根據申請專利範圍第22項之裝置,其中該壓迫裝置是 一電馬達。 25.根據申請專利範園第丨項之裝置,其中該驅動裝置是一 電馬達。 26_根據申請專利範圍第丨項之裝置,其中該安裝空間是可 供該裝置以某種空間方向穩固地加以安裝在其上的地 板^而使得該研磨平面的法向量是垂直於重力加速度 向量’以減少該裝置在該地板上的足跡。 27_根據申請專利範圍第1項之裝置,其中該安裝空間是一 蜂垂直於地板的牆壁,而使得該研磨平面之法向量垂 直於重力加速度向量,以減少該裝置在地板上的足跡 认根據申請專利範圍第七項之裝置,其中該等晶圓支老 件係相對於該研磨裝置之旋轉動作呈固定不動的。 29·根據中請專利範圍第叫之裝置,其中該等晶圓支相 件係相對於該研磨裝置之旋轉動作而旋轉的。 地根據申請專利範圍第_之裝置,其令該等晶圓支指 件係相對於該研磨裝置之旋轉動作而擺動的。 31·根據申請專利範圍第21項之裝置,其令該處理結構途 本紙張尺度適用 A8 BS C8 D8 經濟部中央標準局負工消費合作社印製 申請專利範圍 —步包含有一真空源’可使得該等晶圓托架可在真空 作用下固定住晶圓》 32.—種用來研磨具有平坦表面之物體的裝置,包含有: 一條環狀帶子,具有一研磨墊穩固地黏著在該環 狀帶子的外側表面上,以供在該平坦表面的任何點上 提供均勻的研磨率; 一驅動裝置,連接至該條琿狀帶子上,用來旋轉 該條環狀帶子; 一帶輪總成,用來固定並提供該條環狀帶子的張 力調整機構; 處理裝置,用來將該物體固定於該環狀帶子上 ’以進行研磨作業;以及 該農置係可在空間上相對於供該裝置穩固固定住 的安裝空間安排成多種的方向,使得由該處理裝置加 以相對於該環狀帶子所定義之研磨平面固定住的物體 的平坦表面是成為使垂直於該研磨平面的法向量大致 上不平行於重力加速度之向量者。 33.根據申請專利範圍第32項之裝置,可進一步包含有一 基座,可供該帶輪總成的一部份固定地安裝在盆上。 根據申請專利範圍第32項之裝置,其中該物體是一半 導體材料。 35·根據中請專利範圍第34項之裝置,其令該半導體材料 是一片晶圓或是一個平板顯示器。 36.根據申請專職圍第32項n其巾該㈣是—種 本紙張肖t關家標準(CNS ) A4規格(210X297公釐1 ----—_ ____ -30 - 裝. (請先聞讀背面之注意事項再填寫本I) -訂------Γ 練-------^---
    經濟部中央標準局員工消費合作社印製
    磁性資料儲存材料。 37.根據申請專利範圍第刊項之裝置,其中該磁性資料儲 存材料是一種硬式驅動碟片。 38·根據申請專利範圍第旧之裝置,其中該帶輪總成包含 有一個第一帶輪和一個第二帶輪,該第一帶輪係由該 驅動裝置加以驅動,其可帶動該環狀帶子繞著該第一 帶輪和第二帶輪運轉。 39. 根據申請專·圍第38項之裝置,其中該帶輪總成進 一步包含有第一帶輪固.定件和第二帶輪固定件,分別 用來固定住該第一帶輪和第二帶輪。 40. 根據申請專利範圍第39項之裝置,其中該第一帶輪固 定件的每一側均進一步包含有多個晶圓支撐件,可拆 卸地裝設其上,而在和該處理裝置相反側上靠貼於該 環狀帶子的内侧表面上’以在該要加以研磨之物體上 提供一個抵抗該固定裝置的反向支撐作用。 41·根據巾請專利範圍第綱之裝置,其中該等晶圓支揮 件在數目及直徑上可以變化。 42·根據f請專利範圍第41項之裝置,其_該第—帶輪固 定件係穩固地裝設在該基座上。 43. 根據申請專利範圍第42項之裝置,其中該第二帶輪固 定件包含有帶輪調整裝置,用來調整該第二帶輪固定 件和該第二帶輪,以控制該環狀帶子的張力。 44. 根據申請專鄉圍第43狀|置,其中”輪調整裳 置包含有第一控制裝置,用來控制第二帶輪固定件沿 I----------^装------訂-----φ C请光閲讀背1&之漆意事項存填寫本貫) 31
    中請專利範園 ^ ··>! 口V 力 - mg疋件上,以控制該第二帶輪固定件繞著該也 垂直於該環狀帶子之平面的轴心線的旋轉調整動作。 饥根據申請專利範圍第47項之震置,其中該對可獨立郭 整的滾珠螺桿和滾珠螺帽係可在相同方向或 上調整的。 @ 伙根據申請專利範圍第44項之農置,其中該第 =含有-對獨立的調整裝置,該等獨立的調整裝: 每者均具有—末端穩固地安裝於該支撐裝置上,而 另y末端則緊密但可調地安裝於該第二帶輪固定件上 乂控制該第—帶輪固定件繞著該線性調整運動之 線的旋轉調整動作。 w 50.根射請專·圍第49項之裳置,其中該等獨立調整 、裝置每者均包含有一對相面對的調整臂,係藉 固疋於該第二帶輪固定件的二相對側上而互相分隔開 蝸輪與-根位在第一調整臂上的調整螺桿相嘴人 經濟部中央#準局員-Χ消費合作社印 仏以及-彈簧設置在-個位在第二調整臂上而與_ 輪相對的有底孔洞内’使得該調整螺桿可以調整來鎖 第二帶輪固定件,而該彈簧則用來做為該 »周正螺;f干的反作用力量。 51.根射請專利範圍第32項之裝置,其中該處理裝置包 含有-種處理結構,包含有一基部構件,其具有 相面對的臂部自其上延伸出,該等臂部之每—者均且 .有多個晶SJ托架’用來固定住要加以研磨的 、 本紙張 A8 B8 CS
    、申請專利範圍 52. 根據申請專利範圍禁5丨項之裝置,其中該處理結構進 一步包含有一壓迫裝置,用來施加力量於由該晶圓托 架加以固定住的晶圓上,以抵壓於該研磨墊上,並用 以將晶圓朝向或遠離該研磨墊移動。 53. 根據申請專利範圍第52項之裝置,其中該壓迫裝置是 一氣壓缸。 54·根據申請專利範圍第52項之裝置’其中該塵迫裝置是 一電馬達。 55. 根據申請專利範圍第52項之裝置,其中該驅動裝置是 一電馬達。 56. 根據申請專利範圍第32項之裝置,其中該安裝空間是 可供該裝置以某種空間方向穩固地加以安裝在其上的 地板’使得該研磨平面的法向量是垂直於重力加速度 向量,以減少該裝置在該地板上的足跡。 5 7 ·根據申請專利範圍第3 2項之裝置,其中該安裝空間是 一堵垂直於地板的牆壁,而使得該研磨平面之法向量 垂直於重力加速度向量’以減少該裝置在地板上的足 跡0 5 8 ·根據申睛專利範圍第51項之裝置,其_該處理結構進 一步包含有一真空源’可使得該等晶圓托架可在真空 作用下固定住晶圓。 59. —種用來研磨具有平坦表面之物體的方法,包含有下 列步驟: 將該物體放置於一處理裝置内,其可將該物體固 (請先閲讀背面之注意事項再填寫本頁.) --- I n m !..i m !ΊΙ n - m 1 -kl I n I n 打----- 經濟部中央標準局員工消費合作社印製 i
    « —^ϋ mu mV ·1!1 · 申請專利範園 定住成和一研磨裝置穩定接觸,其中該研磨裝置是穩 固地安裝在一安裝空間内,使得由該處理裝置加以相 對於該研磨裝置所定義之研磨平面固定住的物體的平 坦表面是成為使垂直於該研磨平面的法向量大致上不 平行於重力加速度向量;以及 藉由一驅動裝置來將該研磨裝置加以旋轉,以供 在 4又夠長的時間.内將該平坦表面加以研磨,直到該 物體上達到所要的研磨率。 6〇_根據申請專利範圍第59之研磨具有平坦表面之物體的 方法,其中該研磨裝置包含有一條環狀的帶子,具有 一研磨墊穩固地黏著在其上。 61·根據申請專利範圍第6〇之研磨具有平坦表面之物體的 方法,其中該處理裝置是一種處理結構,用來將該物 體穩固地固定靠貼於該研磨裝置上,以在該平坦表面 上的任何點上得到均勻的研磨率。 62. 根據申請專利範圍第6〇之研磨具有平坦表面之物體的 方法,其中該處理裝置是7種處理結構,可將該物體 固定靠貼於該研磨裝置上,而進行某種的擺動旋轉, 以在該平均表面上的任何點上得到均勻的研磨率。 63. 根據申請專利範圍第59之研磨具有平坦表面之物體的 方法,其中該物體是一半導體材料。 64·根據申請專利範圍第6〇之研磨具有平坦表面之物體的 方法,其中該半導體材料是一片晶圓或是一個平板顯 示器。 35 本紙張从適用 ( CNS ) A4W ( 210X297^7 A8 B8 C8 D8 經濟部中夬標準局員二消費合作社印製 六、申請專利範圍 65·根據申請專利範圍第59之研磨具有平坦表面之物體的 方法,其中該物體是—種磁性資料儲存材料。 66. 根據申請專利範圍第65之研磨具有平坦表面之物體的 方法.其中該磁性★料儲存材料是一種硬式驅動碟片 〇 67. 根據申請專利範園第59之研磨具有平坦表面之物體的 方法’其中該垂直於該研磨平面之法向量的大致上不 平行的位置最好是垂直於重力加速度向量。 68. —種用來增進研磨具有平坦表面之巧體的產能的方法 ’包含有下列步驟: 將該物體放置於一處理裝置内,其可將該物體固 定住成和一帶狀研磨裝置穩定接觸,其中該帶研磨裝 置是穩固地安裝在一安裝空間内,使得由該處理裝置 加以相對於該帶狀研磨裝置所定義之研磨平面固定住 的物體的平坦表面是成為使垂直於該研磨平面的法向 S大致上不平行於重力加速度向量;以及 " 藉由一驅動裝置來將該帶研磨裝置加以旋轉,以 供在一段夠長的時間内以不低於300英呎/分鐘的相對 速度來將該平坦表面加以研磨,直到該物體上達到所 要的研磨率。 6 9 ·根據申請專利範圍第6 8之增進研磨具有平坦表面之物 體的產能的方法,其中該處理裝置是一種處理結構, 用來將該物體穩固地固定靠貼於該研磨裝置上,以在 該平坦表面上的任何點上得到均勻的研磨率。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先聞讀背面之注意事項再填寫本頁) — .!r------- 訂-- • 1^1 1 I In n^i HI · 36
    經濟部中央榇準局員i消費合作社印製 7〇.根據申請專利範圍第69之增進研磨具有平坦表面之物 體的產能的方法’其中該物體是一半導體材料。 71 ·根據申請專利範圍第7 〇之增進研磨具有平坦表面之物 '體的產能的方法,其中該半導體材料是一片晶圓或是 一個平板顯示器。 72.根據申請專利範圍第68之研磨具有平坦表面之物體的 方法,其中該物體是一種磁性資料儲存材料。 73 ·根據申請專利範圍第72之研磨具有平卑表面之物體的 方法’其t該磁性資料儲存材料是一種硬式驅動碟片 〇 74.根據申請專利範圍第68之增進研磨具有平坦表面之物 體的產能的方法’其中該垂直於該研磨平面之法向量 的大致上不平行的位置最好是垂直於重力加速度向量 75.—種用來減少研磨具有平坦表面之物體用的研磨裝置 的足跡的方法,包含有下列步驟: 將該物體放置於該裝置的一個處理裝置内,該處 理裝置可將該物體固定成和一個由該裝置所提供的帶 狀研磨裝置穩定接觸,其中該帶研磨裝置是穩固地安 裝在一安裝空間内,使得由該處理裝置加以相對於該 帶狀研磨裝置所定義之研磨平面固定住的物體的平坦 表面是成為使垂直於該研磨平面的法向量大致上不平 行於重力加速度向量;以及 藉由一驅動裝置來將該帶狀研磨裝置加以旋轉,
    (請先聞讀背面之注意事項再填寫本頁)
    消 -張尺度適用中國國家襟準(CNs ) A顿洛(2獻Μ7公菱) A8 B8 C8 D8 申請專利範圍 以供在一段夠長的時間内來研磨該平坦表面,直到該 物體上達到所要的研磨率。 76. 根據申請專利範圍第75之用來減少研磨具有平坦表面 之物體用的研磨裝置的足跡的方法,其中該處理裝置 是一種處理結構,用來將該物體穩固地固定靠貼於該 研磨裝置上」以在該平坦表面上的任何點上得到均句 的研磨率。 77. 根據申請專利範圍第76之用來減少研磨具有平坦表面 之物體用的研磨裝置的足跡的方法,其中該物體是一 半導體材料。 78. 根據申請專利範圍第77之用來減少研磨具有平坦表面 之物體用的研磨裝置的足跡的方法,其中該半導體材 料是一片晶圓或是一個平板顯示器。 79. 根據申請專利範園第之研磨具有平坦表面之物體的 方法,其中該物體是一種磁性資料儲存材料。 80. 根據申請專利範圍第79之研磨具有平坦表面之物體的 方法’其中該磁性資料儲存材料是一種硬式驅動碟片 81 ·根據申請專利範圍第75之用來減少研磨具有平坦表面 之物體用的研磨裝置的足跡的方法,其中該垂直於該 研磨平面之法向量的大致上不平行的位置最好是垂直. 於重力加速度向量。 82·—種可達到高產能而同時能減少在研摩具有平坦表面 之物體時的製造足跡的方法,包含有下列步驟: -38 (請先閎讀背面之注意事項再填寫本頁)
    經濟部中央標準局員工消費合作社印製 ' Αδ Βδ ----—_____'、申請專利範園^ ':- 使用一系列用來進行研磨作業的研磨裝置,每一 裝置均包含有(a)一帶狀研磨裝置,用來研磨該 平乙表面,(B)一驅動裝置,連接至該帶狀研磨裝置上 ,用來旋轉該研磨裝置;(c)一固定裝置,用來固定住 U帶狀研磨裝置;(D) 一處理裝置,用來將該物體固定 於該帶狀研磨裝置上,以進行研磨作業;以及(E)該裝 置係可在空間上相對於供該裝置穩固固定住的安裝空 間安排成多種的方向,使得由該處理裝置加以相對於 該帶狀研磨裝置所定義的研磨平面固定住的該物體的 平坦表面是成為使垂直於該研磨平面的法向量大致上 不平行於重力加速度向量; 將該物體放置於該處理裝置内,其可將該物體固 疋成和該帶狀研磨裝置穩定接觸;以及 藉由該驅動裝置來將該帶狀研磨裝置加以旋轉, 以供在一段夠長的時間内來研磨該平坦表面,直到該 物體上達到所要的研磨率。 83. 根據申請專利範圍第82之可達到高產能而同時能減少 在研磨具有平坦表面之物體時的製造足跡的方法,其 中該處理裝置是一種處理結構,用來將該物體穩固地 固定靠貼於該研磨裝置上,以在該平坦表面上的任何 點上得到均勻的研磨率。 84. 根據申請專利範圍第82之可達到高產能而同時能減少 在研磨具有平坦表面之物體時的製造足跡的方法,其 中該物體是一半導體材料。 請 先 閎 讀 背 面 之 注 意 事 項 再/ 一裝 頁 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部十央榡準局員工消費合作社印製 A8 B8 C8 ---- - D8 、申請專利範園 85.根據申請專利範圍第84之可達到高產能而同時能減少 在研磨具有平坦表面之物體時的製造足跡的方法,其 中該半導體材料是一片晶圓或是一個平板顯示器。 86·根據申請專利範圍第82之研磨具有平坦表面之物體的 方法,其中該物體是一種磁性資料儲存材料。 87.根據申請專利範圍第86之研磨具有平坦表面之物體的 方法,其中該磁性資料儲存材料是一種硬式驅動碟片 88. 根據申請專利範圍第85之可達到高產能而同時能減少 在研磨具有平坦表面之物體時的製造足跡的方法,其 中該垂直於該研磨平面之法向量的大致上不平行的位 置最好是垂直於重力加速度向量。 89. 根據申請專利範圍第82之可達到高產能而同時能減少 在研磨具有平坦表面之物體時的製造足跡的方法,其 中該系列的裝置在空間中係安排成一種該等裝置中每 一者均係平行於另一者來加以委裝的配置。 9〇·根據申請專利範圍第82之可達到高產能而同時能減少 在研磨具有平坦表面之物體時的製造足跡的方法,其 中該系列的裝置在空間中係安排成一種該等裝置中每 一者均係以一種前後向的方式相對於另—者拆成一列 的配置。 91.根據申請專利範圍第82之可達到高產能而同時能減少 在研磨具有平坦表面之物體時的製造足跡的方法盆 中該系列的裝置中的每一者均係設置於另—者上方 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閎讀背面之注意事項再填寫本頁) -—-----訂------I
TW087102284A 1997-02-21 1998-02-18 Apparatus and method for polishing a flat surface using a belted polishing pad TW393375B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/803,623 US6059643A (en) 1997-02-21 1997-02-21 Apparatus and method for polishing a flat surface using a belted polishing pad

Publications (1)

Publication Number Publication Date
TW393375B true TW393375B (en) 2000-06-11

Family

ID=25187034

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087102284A TW393375B (en) 1997-02-21 1998-02-18 Apparatus and method for polishing a flat surface using a belted polishing pad

Country Status (7)

Country Link
US (2) US6059643A (zh)
EP (1) EP0860239B1 (zh)
JP (1) JP3911082B2 (zh)
KR (1) KR100488301B1 (zh)
CN (1) CN1083754C (zh)
DE (1) DE69823407T2 (zh)
TW (1) TW393375B (zh)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6336845B1 (en) * 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US6244935B1 (en) 1999-02-04 2001-06-12 Applied Materials, Inc. Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet
US6475070B1 (en) 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6241583B1 (en) * 1999-02-04 2001-06-05 Applied Materials, Inc. Chemical mechanical polishing with a plurality of polishing sheets
US6626744B1 (en) 1999-12-17 2003-09-30 Applied Materials, Inc. Planarization system with multiple polishing pads
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7077733B1 (en) 2000-08-31 2006-07-18 Micron Technology, Inc. Subpad support with a releasable subpad securing element and polishing apparatus including the subpad support
US6942545B2 (en) * 2001-04-20 2005-09-13 Oriol, Inc. Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
KR20040020147A (ko) * 2002-08-29 2004-03-09 삼성전자주식회사 잉크카트리지
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) * 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
CN101987429B (zh) * 2009-08-07 2012-09-26 中芯国际集成电路制造(上海)有限公司 化学机械研磨方法和装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5618708B2 (ja) * 2010-08-27 2014-11-05 ワイエス株式会社 コンベア装置
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR20230165381A (ko) 2016-06-24 2023-12-05 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 연마를 위한 슬러리 분배 디바이스
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN107457633B (zh) * 2017-07-28 2019-02-01 义乌市台荣超硬制品有限公司 一种重力恒压平磨机
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109333273A (zh) * 2018-11-26 2019-02-15 广州和兴机电科技有限公司 一种整平拉丝一体机
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2416493A (en) * 1945-02-26 1947-02-25 Herman S Newton Sanding belt machine
US2934279A (en) * 1955-06-29 1960-04-26 Minnesota Mining & Mfg Production of groundwood pulp
US3656265A (en) * 1969-10-14 1972-04-18 Schaffner Mfg Co Inc Method of making an abrasive belt
US3908316A (en) * 1974-09-04 1975-09-30 Lok Box Inc Multi-station simultaneous dual-side sanding machine
US4535568A (en) * 1983-11-18 1985-08-20 Lafave Charles H Belt sander
JPH01257558A (ja) * 1988-04-06 1989-10-13 Shigeru Hasegawa 傾斜自在型のベルトサンダー
JPH01271157A (ja) * 1988-04-20 1989-10-30 Brother Ind Ltd 磁気ディスク媒体の製造方法
DE69512971T2 (de) * 1994-08-09 2000-05-18 Ontrak Systems Inc Linear Poliergerät und Wafer Planarisierungsverfahren
US5593344A (en) * 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
US5575707A (en) * 1994-10-11 1996-11-19 Ontrak Systems, Inc. Polishing pad cluster for polishing a semiconductor wafer
US5791969A (en) * 1994-11-01 1998-08-11 Lund; Douglas E. System and method of automatically polishing semiconductor wafers
US5800248A (en) * 1996-04-26 1998-09-01 Ontrak Systems Inc. Control of chemical-mechanical polishing rate across a substrate surface
US5722877A (en) * 1996-10-11 1998-03-03 Lam Research Corporation Technique for improving within-wafer non-uniformity of material removal for performing CMP
US5928062A (en) * 1997-04-30 1999-07-27 International Business Machines Corporation Vertical polishing device and method
US5897425A (en) * 1997-04-30 1999-04-27 International Business Machines Corporation Vertical polishing tool and method

Also Published As

Publication number Publication date
DE69823407D1 (de) 2004-06-03
CN1083754C (zh) 2002-05-01
US6059643A (en) 2000-05-09
KR100488301B1 (ko) 2005-09-14
EP0860239A3 (en) 2000-04-05
EP0860239B1 (en) 2004-04-28
JPH10309662A (ja) 1998-11-24
US6146249A (en) 2000-11-14
KR19980071770A (ko) 1998-10-26
CN1195595A (zh) 1998-10-14
DE69823407T2 (de) 2005-08-04
JP3911082B2 (ja) 2007-05-09
EP0860239A2 (en) 1998-08-26

Similar Documents

Publication Publication Date Title
TW393375B (en) Apparatus and method for polishing a flat surface using a belted polishing pad
JP4771592B2 (ja) 化学機械研磨のためのエッジ制御付きキャリアヘッド
US6402591B1 (en) Planarization system for chemical-mechanical polishing
US6443823B1 (en) Carrier head with layer of conformable material for a chemical mechanical polishing system
US6506104B2 (en) Carrier head with a flexible membrane
WO2011102078A1 (ja) 研磨ヘッド及び研磨装置
US6143127A (en) Carrier head with a retaining ring for a chemical mechanical polishing system
US6764392B2 (en) Wafer polishing method and wafer polishing device
JP4750250B2 (ja) 変更された可撓膜を有するキャリアヘッド
TW496811B (en) Polishing apparatus and method with a refreshing polishing belt and loadable housing
WO2001028739A8 (fr) Dispositif de polissage pour bord peripherique exterieur de tranche de semi-conducteur
JPH0839422A (ja) 研磨制御を改善した化学的機械的研磨装置
US6217429B1 (en) Polishing pad conditioner
US6458021B1 (en) Polishing apparatus with a balance adjusting unit
JP4487353B2 (ja) 研磨装置および研磨方法
JP2004040079A (ja) 化学機械研磨システムの振動低減機能付キャリアヘッド
JP3808236B2 (ja) 平坦化加工装置
US5791978A (en) Bearing assembly for wafer planarization carrier
US6796887B2 (en) Wear ring assembly
US6350188B1 (en) Drive system for a carrier head support structure
JP2000000757A (ja) 研磨装置及び研磨方法
JP2628448B2 (ja) 半導体基板の鏡面研磨方法
JP2000288908A (ja) 研磨装置及び研磨方法
TW295560B (en) Retaining ring for polishing device
JP2004167634A (ja) 加圧定盤およびそれを用いた片面研磨装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees