JP3911082B2 - ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法 - Google Patents

ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法 Download PDF

Info

Publication number
JP3911082B2
JP3911082B2 JP03936098A JP3936098A JP3911082B2 JP 3911082 B2 JP3911082 B2 JP 3911082B2 JP 03936098 A JP03936098 A JP 03936098A JP 3936098 A JP3936098 A JP 3936098A JP 3911082 B2 JP3911082 B2 JP 3911082B2
Authority
JP
Japan
Prior art keywords
polishing
flat surface
pulley
wafer
belt
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP03936098A
Other languages
English (en)
Other versions
JPH10309662A (ja
Inventor
アルバート・フー
バーフォード・ジェイ・ファーマン
モハメッド・アブシャバン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Burford J Furman
Mohamed Abushaban
Original Assignee
Burford J Furman
Mohamed Abushaban
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Burford J Furman, Mohamed Abushaban filed Critical Burford J Furman
Publication of JPH10309662A publication Critical patent/JPH10309662A/ja
Application granted granted Critical
Publication of JP3911082B2 publication Critical patent/JP3911082B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/12Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving a contact wheel or roller pressing the belt against the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • B24B21/06Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces involving members with limited contact area pressing the belt against the work, e.g. shoes sweeping across the whole area to be ground
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/8404Processes or apparatus specially adapted for manufacturing record carriers manufacturing base layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体及びハードディスクドライブ研磨装置に関し、特に、ウェハ、フラットパネルディスプレイ(FPD)、及びハードディスクドライブ(HDD)製造に利用されるベルト式研磨パッドを用いる化学的機械的平坦化(CMP)方法及び装置であって、装置の設置占有面積(footprint)を小さくするとともに研磨加工のスループットを高めた化学的機械的平坦化(CMP)方法及び装置に関する。
【0002】
【従来の技術】
平坦化技術は、高速で高い計算能力を有するCPUや高密度メモリチップのような新世代ULSI(超大規模集積回路)デバイスの製造プロセスにおいて重要な技術である。このようなデバイスは通例、金属材料と絶縁材料とが交互に積み重ねられた多数の層を有する。金属層はごく小さな間隔をおいて精密に形成された電気信号を伝送するための相互接続のためのラインからなる。このようなラインの幅は、今日のULSIデバイスでは0.5μm程度かそれより小さなものである。絶縁層は電気的絶縁をなすと共に、金属の相互接続ラインを機械的に支持する。典型的にはSiO2である絶縁材料は、金属ライン間のスペースを埋める役目も果たしている。この金属ラインのそれぞれはリソグラフィー法を用いて絶縁層上で「パターニング」または加工される。0.5μm未満の分解能でパターニングを行うためのリソグラフィーでは、絶縁層が極めて平坦で、高さ方向の凹凸が10Å台であることが必要である。このような平坦さは、0.5μm未満の精度の光学的リソグラフィー用露光ツールでは焦点深度(DOF)が浅いために、局部的にだけでなく、リソグラフィー処理を行うフィールド全体に亘って要求されるのである。
【0003】
高度なレベルのウェハの平坦化を達成するのに用いられてきた従来技術では、ウェハにレーザーを照射し、ウェハ上にガラス、樹脂または他のポリマーをスピンコーティングによってコーティングし、BPSGのような熱リフロー材料でウェハを処理する。別の方法として、大気圧下でのTEOS−オゾン法や、ECRCVD法や、各種処理用のツールを組み合わせたクラスタツールを使用するティップ/エッチ/ディップ法のような新しい絶縁物蒸着技術を用いて同じ目的を達成することもできる。しかし、このような従来の平坦化技術では、進歩したプロセスで要求される全体的な平坦化はできない。
【0004】
CMPは非加工物全体に亘る高度な平坦性の要求を満たす唯一の平坦化技術である。CMPプロセスは機械的な研磨と化学的なエッチングとの双方を用いて基板から表面の材料を除去する処理である。CMPプロセスが行われる場合、ウェハのような研磨を受ける基板は、キャリア(ヘッド)に捕捉されており、研磨剤のスラリーをしみ込ませた研磨パッドに押しつけられる。たいていのCMP装置は、キャリアとパッドとの相対的な回転運動、軌道運動、ラップ磨き、またはこれらの運動の組み合わせを起こさせて、機械的な研磨を行うと共に、スラリーに含まれるエッチング剤によって化学的なエッチングがなされる。CMPは現在用いられている他の平坦化技術を凌駕するレベルの平坦化を行えることが実証されているが、この技術における制約は、スループットが低いこと(典型的には1時間あたり20〜40ウェハ)と、そのためにコストが嵩むことである。
【0005】
CMPプロセスでの材料除去速度(若しくは研磨速度)は、以下の半経験的に得られた関係式(プレストンの式)によって決定され得る。
【0006】
【数1】
除去速度(Å/分)=K×P×VREL
【0007】
ここでPは基板とパッドとの間の圧力であり、VRELは、基板上の任意の点とそれに対応するパッド上の点との間の相対的な線速度であり、Kはスラリーの化学的組成、プロセス温度、及びパッド表面によって決定される定数である。従来のCMP装置では、ウェハを捕捉するキャリアがパッドに押しつけられ、このパッドは水平プラテンに装着されている。キャリアが回転するだけでなくプラテンも回転し、これによってウェハ上の全ての点に対してより均一な相対線速度(VREL)が与えられる。キャリアとプラテンとが同時に回転することが必要である。というのは、このような形態にしないと、VRELがウェハの中心では0となり、かつウェハの縁の部分では最大になってしまうからである。プレストンの式によれば、このことによってウェハの中心では除去速度が極めて小さくなり、ウェハの縁の部分では除去速度が極めて大きくなってしまう。
【0008】
従って、ウェハ全体に亘って均一な除去速度を得るために、VRELは基板上の全ての点において均一でなければならないことは明らかである。この基板はウェハの場合は円形で、FPDのものは矩形である。従来のCMP装置は、プラテンの縁部分の近傍に取り付けられるキャリアの大きさに合わせて十分なサイズを有しており、このためウェハの中心の相対線速度はその縁部分における相対線速度に近いものとなる。プラテンの直径は、通常30〜36インチ(約76〜91cm)であり、回転速度は通常20〜40rpmである。CMPプロセスにおいてウェハに加えられる圧力は、通常約7psiである。1つの8インチ(約200mm)ウェハを上述の圧力でプラテンに押しつけると、加えられる力は全体で352ポンド(約160kg)となる。しかし、研磨されたウェハのミクロンレベルの精密さと均一性を得るためには、回転するプラテン上での変形を最小にしなければならない。このような目的を達成するためには、様々な既存のCMP装置で用いられるプラテンは非常に大きく嵩張るものである必要がある。
【0009】
このサイズ上の制約の結果、上述のCMP装置は、次第にサイズが大きくなる傾向にある新世代のFPDやウェハ基板の研磨には適さないものとなり得る。特に、次世代のULSIデバイスの製造に使用される12インチ(約300mm)ウェハを上述の圧力でプラテンに押しつける場合には、792ポンド(約360kg)の下向き圧力の負荷が、プラテン上にダイナミックになってくる。この場合プラテンは現在の構成よりも更に大きく嵩張るものにしなければならない。更に、スループットを高めるべく同じプラテン上に複数のヘッドが用いられる(例えば8インチ(約200mm)ウェハを把持する6個のヘッドを使用する場合)、プラテンにかけられる下向き圧力は全体で2112ポンド(約958kg)まで高くなってしまう。12インチウェハを把持する3つのヘッドがプラテンに押しつけられる場合には、全下向き圧力は2376ポンド(約1078kg)に達し得る。更に、スループットを高めるために、プラテンの回転速度を高めなければならず、このことによってもプラテンの支持部のサイズは更に大きくなってしまう。
【0010】
上述のCMPプロセス及び装置は、上述のように理想からはほど遠いものであり、実質的な改良が必要である。
【0011】
第1に、従来のCMP装置の主な問題点は、その生産性に限界があることである。従来のCMP装置で使用されているヘッド/プラテン機構から得られる最大の相対線速度は実際のところ150フィート/分(約46m/分)程度であって、このような機構によって達成され得る除去速度の最大値には限界がある。
【0012】
第2に、現在のCMP研磨機の別の問題点は、その大きな設置占有面積である。これは、このような研磨機が水平方向に広がる大型のプラテンを備えているからである。このような研磨機は、一般に25平方フィート(2.3m2)以上の設置面積を占め、これによって現在のウェハ製造プラントにおける貴重な製造用スペースは占有されてしまう。
【0013】
第3に、上述のように、現在のCMP技術で使用されているヘッド/プラテン機構は、将来的にそのサイズがより大きくなると見込まれている大型のウェハ(例えば12インチ(約300mm)のウェハ)やFPBの研磨には適さないという点である。軌道運動に従って加わる位置が変わってくる大きな下向きの力に対抗する安定性を備えたものとするためにはプラテンは極めて嵩張るものとならざるをえない。
【0014】
第4に、上述のように大型の基板全体に亘る均一な相対線速度を得て基板全体に亘る均一な除去速度を達成するためには、プラテンのサイズが大きくするか、サイズを大きくしない場合にはプラテンを横切ってキャリアを動かす往復運動機構を用いることが必要となる。
【0015】
従って、必要とされているのは、ウェハのスループットが高く、装置の設置占有面積が小さく、大型のウェハやFPBの研磨が行え、かつ研磨される基板全体に亘る均一な除去速度が得られるような新規なCMP装置である。
【0016】
【発明が解決しようとする課題】
本発明の目的は、実質的にスループットが高く、設置占有面積が小さく、基板全体に亘って均一なより高速の相対速度(即ち600ft/分(約183m/分))が得られ、既存のものよりよりコンパクトな機構で高度な平坦化が行えるような、床に対する装置の向きを変えた様々な形態で設置できる化学的機械的平坦化処理用のベルト式研磨装置を提供することである。
【0017】
本発明の別の目的は、従来の研磨機や研磨方法を用いて取り扱うには不適切で望ましくないような大きなサイズのウェハやFPDを研磨するのにより適するように設計された化学的機械的平坦化処理用のベルト式研磨装置を提供することである。
【0018】
本発明の更に別の目的は、現在の回転式プラテンのサイズを大きくせずに高い相対速度を得ることができ、かつあまり嵩張らない構成のベルト式CMP装置であって、現在の回転式プラテンで必要な大きくて嵩張る支持部が不要の固定式ウェハ支持部を備えており、このため装置を床に対して概ね垂直な方向に延びる形態で設置し、装置の設置占有面積を15平方フィート(1.4m2)程度に抑えることができるという利点を有する化学的機械的平坦化処理用のベルト式研磨装置を提供することである。
【0019】
本発明の更に別の目的は、生産上の信頼性を高め、機械のメンテナンスのための処理中断の頻度を減らすとともに、高いスループットと品質の良い加工物が得られて、この結果コストを低減することができるようなベルト式ウェハ研磨装置を提供することである。
【0020】
本発明の更に別の目的は、このようなベルト式研磨装置を用いた研磨方法を提供することである。
【0021】
【課題を解決するための手段】
上述の目的は、本発明による化学的機械的平坦化処理用の研磨装置(CMP装置)によって実現される。このCMP装置は、ウェハのような基板の研磨されるべき表面上において均一な研磨速度が得られ、既存の装置より小さな設置占有面積でより高いスループットが得られる。好適実施例の装置は、設置占有面積が小さくなる点で好適な床に対して概ね垂直な方向に延在する形態のループ式のベルトを有する。ベルトの外側表面には研磨パッドが貼着または取着される。ベルトの内側表面には、研磨プロセス中ウェハを支持する複数のウェハ支持部が設けられる。ウェハは研磨処理の前にロボット式のハンドリング構造を用いてウェハステーションから取り出され、ウェハヘッドに装填され、研磨処理の後ウェハヘッドから取り外されてウェハステーションに戻される。電気モータまたはそれに相当する機構により、2つのプーリにかけられたループ式ベルトが駆動される。スムーズなベルトの走行のためのベルトの張りや位置の調節は、調節手段を用いて行われる。
【0022】
本発明によるCMP装置は、研磨パッドを貼着した回転式プラテンの代わりに研磨パッドを貼着したループ式ベルトを使用している。ウェハヘッドはウェハを把持し、それをベルト及びウェハ支持部に押しつける。複数のウェハヘッドの組が可動式ハンドリング構造上に設けられており、これによってウェハの出し入れが行うと共に、ウェハヘッドの組の1つに入っているウェハが研磨されるようになっている。この新規なCMP装置は、設置時に床に対する装置の延びる向きを変えて様々な形態で設置することができる。工場内の空間を節約するために複数の装置を異なる設置形態で設置することができる。本発明により、このベルト式研磨機構の特徴を利用する、高いスループットと小さな設置占有面積を同時に実現するベルト式CMP装置を用いた研磨方法も提供されている。
【0023】
【発明の実施の形態】
図1、図2、及び図3を参照すると、本発明による、床に対する向きを変えた様々な設置形態をとれるベルト式CMP装置が、ループ式ベルト1と、該ループ式ベルト1の外側表面に貼着された研磨パッド1aと、ベース部29と、固定プーリ取付具5に押さえられ、ブラシレスACモータ8や他の適当なモータに結合手段7によって結合された固定プーリ2を有していることが示されている。前記結合手段7はVベルトか2重鎖の何れかであって、ベルト1を駆動するのに必要な大きなトルクを支持するために適切なものであり得る。様々な設置形態をとれるベルト式CMP装置は、調節機構となる調節可能プーリ取付具21に押さえられた調節可能プーリ6を更に有する。前記調節機構は、後に説明する他の要素と共に調節可能プーリ6の1つの直線運動と2つの回転運動を制御することにより、ベルト1の張りを適切に調節し制御する。プーリ2及び6は、フラット型プーリかフランジ付プーリの何れかであり得る。フランジ付プーリを用いれば、フランジがベルトの進行方向に対して直角横方向の位置の調節を行う助けとなる。以下説明する好適実施例ではフラット型プーリを用いている。
【0024】
図10及び図11にも示されているように、固定プーリ取付具5は上側部材5a及び下側部材5b、両部材が一体的に結合している垂直部材5cを有し、垂直部材5cは、上側部材5a及び下側部材5bの側面とその長さの2分の1重なる形で両部材の間に挟まれて垂直方向に延びており、固定プーリ2が収められる空間を画定している。固定プーリ取付具5は更に、シャフト3、2つの玉軸受17、及び複数のウェハ支持部23を有する。シャフト3は固定プーリ2を貫通し上述の空間全体にわたって延在し、前記上側部材5a及び前記下側部材5bのそれぞれに配設された第1及び第2の玉軸受同士を結合しており、更に下側部材5b側の一端は玉軸受を貫通して結合手段7に係合し、結合できるようになっている。このようにして固定プーリ2の駆動機構が構成される。固定プーリ取付具5の下側部材5bは、床に固定的に設置されるベース部29上にしっかりと固定されるように取り付けられる。ウェハ支持部23はそれぞれ、垂直部材5cの前後の両側の部位に、ウェハシャフトピン23bを補助的に用いてウェハシャフト23aにより取り付けられる。このようにしてウェハ支持部23は、ベルト1の内側表面に直接接触するように配設される。ウェハシャフトピン23bは、垂直部材5cの前後でなく横から着脱自在に取着でき、これによりウェハ支持部23の組み立てや交換が容易に行えるようになっている。シャフトリミット23cは、ウェハ支持部23とウェハシャフト23aとの間に設けられ、研磨プロセス時にウェハシャフト23aが垂直部材5cの内部に引っ込まないように止める役目を果たす。ウェハ支持部23のサイズや形状は、研磨されるウェハ、デバイス、他の基板、材料のサイズや形状に応じて様々に変えられ得る。ウェハ支持部23のサイズは、ウェハ支持部23の上に載せられるウェハの位置ずれが起こり得ることから、それを補償するためにウェハのサイズより僅かに大きくしておくのが普通である。
【0025】
以下、図1、図2、図3、図7、図8、及び図9を相互の関連を考慮して参照しつつ、上記の調節機構について説明する。この調節機構は、支持体35と、シャフト機構18と、2対の調節アーム24及び24aと、一対の調節ねじ20に係合する一対のウォームギヤ28とを含む。支持体35は、その底部末端をベース部29に固着されており、調節可能プーリ取付具21を物理的に支持するとともに、シャフト機構18を保持する役目を果たす。シャフト機構18は、図7及び図8に示すように、研磨されたシャフト27、ピボットシャフト26、及びヨーク36からなる。ヨーク36は、2つのサイドアーム36aと1つの横部材36bとを有し、横部材36bは、2つのサイドアーム36aの間に挟まれる形で両者と一体的に結合されており、研磨されたシャフト27とピボットシャフト26とを一体に結合して1つの物理的な単位要素を構成する。この物理的な単位要素においては、研磨されたシャフト27の一端がヨーク36の横部材36bの下側に着脱自在に結合され、またピボットシャフト26はヨーク36の2つのサイドアーム36aの間に挟まれる形で横向きに結合され、凹部36cを形成している。この凹部36cにより、調節可能プーリ取付具21がシャフト機構18の調節のための動きに応じてその周りをある程度自由に回動できるようになっている。支持体35は、その中央部に研磨された孔19を有しており、そこを通して研磨されたシャフト27が支持体35を貫通し、支持体35と係合するようになっている。一方、シャフト機構18のピボットシャフト26も、調節可能プーリ取付具21と、該取付具の中央部に設けられた孔37を通して係合しており、これによってシャフト機構18が2種類の調節可能な動きをすることが可能となる。2種類の動きの一方は、研磨された孔19によって定められた経路に沿ってベルト1の延在する平面と同じ平面上を動く直線運動であり、他方は前記直線運動の軸線周りの回転運動である。シャフト機構18は、ピボットシャフト26によるベルト1の延在する平面に対して垂直な向きの軸線周りの回動も可能で、これは後に説明するように一対のボールねじ14及び一対のウォームギヤ28の連係により回動が可能となっているのである。
【0026】
第1の調節アームの対24は支持体35の上側部分に固定されており、第2の調節アームaの対24aは支持体35の下側部分に固着されており、これによって支持体35上の調節アーム24、24aの取り付け位置に対応する位置にくる調節可能プーリ取付具21の上側部分及び下側部分のそれぞれの調節が可能となっているのである。図2及び図12に示すように、調節アームの各対24、24aは、第1アーム及び第2アームを有する。調節のための動きは、第1アームの底部の孔に調節可能プーリ取付具21を付勢するように取着されているばね25と第2アームに取着された調節ねじ20との連係による作用を用いて制御される。即ち、調節ねじ20を回すことによりウォームギア28に力が加えられ、このギアが回って調節可能プーリ取付具21に対して前後に移動することができるのである。ばね25及びウォームギア28が、それぞれ各アームの互いに対向する位置に設けられていることから、ウォームギア28の作用により調節可能プーリ取付具21は一方に回動し、ばね25の力により逆方向に回動することになる。この回動は、シャフト機構18の研磨されたシャフト27の周りの回動である。この回動はごくわずかで、2°から最大5°の範囲内の動きである。
【0027】
図2、図3及び図13を相互の関連を考慮して参照すると、調節可能プーリ取付具21は、一対の玉軸受17を用いて調節可能プーリ6を保持しており、前記玉軸受17はプーリシャフト9と結合しており、モータ8を駆動したとき調節可能プーリ6がプーリシャフト9の周りに自由に回転できるようになっている。調節可能プーリ取付具21は、上側ブロック21aと下側ブロック21bとを有し、この両者は着脱自在に垂直ブロック21cに結合されている。この垂直ブロック21cは、上側ブロック21a及び下側ブロック21bの側面にそれら長さの1/2の分だけ重なる形で両者の間に挟まれて垂直方向に延在しており、調節可能プーリ6を納められる空間を画定している。上側ブロック21a及び下側ブロック21bと垂直ブロック21cとの結合はねじ21dによってなされている。このねじ21dは垂直ブロックに着脱自在に結合されており、ベルト1の着脱交換のための上側ブロック21a及び下側ブロック21bの分解が容易に行えるようになっている。
【0028】
図2、図13を参照すると、支持体35は第1のボールナットの対15を更に有し、この第1のボールナットの対15は、支持体35の研磨された孔19の上下の位置でスラスト玉軸受16に取着されている。このボールねじ14の対による調節可能プーリ取付具21の調節が行えるように、第2のボールナットの対15aが、調節可能プーリ取付具21上の支持体35上の対応物に対応する位置に設けられたスラスト玉軸受16aに取り付けられている。このようにして、調節可能プーリ取付具21は、シャフト機構18及びボールねじの対14を通して支持体35に取り付けられる。ボールねじ14のそれぞれは、尖った末端と平らな末端とを有する。図13に示すように、平らな末端は内側にくるねじ付き部分14a及び固定ピン14bを有し、これによって平らな末端のそれぞれは調節可能プーリ取付具21上のボールナット15aの内側に固定的に取り付けられるのである。ボールねじ14の尖った末端は、支持体35上のボールナット15に調節可能な形でしっかりとねじ留めされる。この2つのボールねじ14及びボールナット15は、その機能を損なうことなく反対向きに設置され得る。この2つのボールねじ4をシャフト機構18と共に逆方向に個別に調節して、調節可能プーリ取付具21をベルト1の平面に対して垂直な軸線周りに回動させることにより、調節可能プーリ取付具21の向きを調節することができる。この他、2つのボールねじ14を同一の方向に調節することによりベルト1の張りを強くしたりゆるめたりする調節が可能である。
【0029】
調節可能プーリ取付具21の調節には、以下の2つの目的がある。第1に、この調節可能プーリ取付具の調節により、ベルト1の横方向の位置の調節であるクロストラック調節が行える。第2に、これの調節により、ベルト1がいかなる円錐形の形状をとってもそれに関わらず、ベルト1の張りを均一に保つためのベルトの張りの精密な制御や調節が行える。
【0030】
ベルトの張りは、調節可能プーリ6を保持する調節可能プーリ取付具21の直線方向の移動によって調節される。上述のように調節可能プーリ取付具21は、所望のベルトの張りを得るために様々な方法で調節することができる。例えば、シャフト機構18に結合しているボールねじ14の作用により得られる調節機構をこの目的のために用いて、ベルトの両側の張りを等しくすることができる。2つのボールねじを個別に調節してベルト1の張りを調節した後、以下に述べるように2つの回転運動によりクロストラック調節が行われる。
【0031】
このクロストラック調節は、調節可能プーリ6のシャフト9周りの必要な回転運動と、ベルト1の延在する平面に沿った調節可能プーリ6の直線運動に加えて、2自由度の動き(即ち2本の互いに直交する軸線周りの回動)を持たせることによって行われる。詳述すると、第1の回転軸の軸線は、直線運動の平面と同じ平面上にあって調節可能プーリ6のシャフト9に対して垂直な回転軸で、シャフト9の中点を通っている。第2の回転軸の軸線は、前記第1の回転軸によって定められた第1平面に対して垂直な平面上にあり、調節可能プーリ6のシャフト9の中点を同様に通っている。第1の軸線周りの回動は、研磨された孔19の内部に嵌合された研磨されたシャフト27の円筒形部分の周りで起こる回動である。このように、調節可能プーリ6は、3自由度の調節運動、即ちベルト1により引き起こされる回転運動に加えて、1つの直線運動及び2つの回転運動が行えるのである。
【0032】
以下図1及び図3を再び参照しつつウェハ支持部23について更に説明する。このウェハ支持部は、上述のように、ウェハや研磨される半導体デバイスの直径や形状によって決まる様々な異なるサイズ及び形状を有し得る。本発明の好適実施例においては、ウェハ支持部23は図10及び図11に示すように面取りされたエッジ部を備えた円盤形状のものである。ウェハ支持部23はベルト式研磨パッドを平らな状態に維持して、研磨されるウェハ上でのエッジ効果の生ずる可能性を低くする助けとなる。このような設計を利用するために、ウェハハンドリング構造40が、対応するウェハ支持部23のベルトを挟んで正反対の位置のベルト1の外側表面上に適宜配置または設置されており、これによってウェハが捕捉され、パッド付き研磨ベルト1に押しつけられる。このウェハ支持部23は、研磨ベルト1に対して垂直な軸線周りに回動したり、垂直部材5cに沿って上下に移動することにより振動性の回転運動を行ったり、または両者の動きを組み合わせた動きをするように設計され得る。別の形態として、本発明の好適実施例によれば、ウェハ支持部が固定プーリ取付具5の垂直部材5c上に固定される。このような場合、研磨処理の間に必要となる動きは、ループ式ベルト1の回転運動のみとなる。
【0033】
ここで図4、図5及び図6を参照しつつ、ウェハハンドリング構造40について更に説明する。上述のように、ウェハの装置へのロード及びウェハの装置からのアンロードは、通常ウェハハンドリング構造40を用いてなされる。ウェハハンドリング構造40は、ウェハをローディングステーション及びアンローディングステーション(図示せず)に出し入れするものである。ウェハハンドリング構造40は、フランジ付きベース部43及びそこから延出した一対の平行なハンドリングアーム47を有する。各ハンドリングアームは、ウェハを保持するための複数のウェハヘッド41を備えている。ウェハヘッド41のそれぞれは、ハンドリングアーム47の内部構造として形成された加圧手段42に一体的に結合される。この加圧手段は、ウェハハンドリング構造40がフランジ付きベース部43の中央部に存在するシャフトピボット44を貫通するレール、または生産ラインに沿って移動している間は、ハンドリングアーム47の内部に納められ得る。加圧手段は、ウェハハンドリング構造40がウェハをロード若しくはアンロード時、またはウェハの研磨加工中にハンドリングアームから延出し突出した状態となり得る。加圧手段の機能は、研磨パッド1bに押しつけられて研磨されるウェハに必要な力を加えることである。ウェハは一部吸引力の助けを借りてウェハヘッド41によって保持される。この吸引力はウェハヘッド41に直接吸引ライン45を通して与えられる。加圧手段42は、圧縮力を供給するために圧縮空気ライン46に結合された圧縮シリンダであり得る。別の形態として、加圧手段42は、電気で動くモータか、研磨パッド1bに対して保持されているウェハに、水力、または圧縮力を供給できる他の適当な手段であり得る。本発明によれば、ウェハハンドリング構造40が回転運動や横向き運動を必要としないことから、従来の研磨装置において必要であった駆動モータ及び関連する複雑な制御機構が不要となる。
【0034】
ウェハは、装置の設置形態が装置の向きが床に対して垂直に延びるような形態であるとき垂直位置にハンドリングされる。CMP装置は床にしっかりと固定される。ウェハハンドリング構造40は、研磨装置の設置形態に応じて様々な形で研磨パッド付きベルト1に向かって移動し得る。図6に示すようなトップローディング式ウェハハンドリング構造40では、ウェハヘッド41の、固定プーリ取付具5の垂直部材5cに沿った方向の位置がウェハ支持部23と一致するまで、ハンドリング構造40がCMP装置の上側から研磨ベルト1に向かって動く。圧縮シリンダまたは他の加圧手段42は、ウェハヘッド41がパッド付きベルト1に接触して、除去する必要のある厚みに基づいて決められた約7psiの圧力を与えるまで、ウェハヘッド41を、それが各ハンドリングアーム47から離れる方向に動かすように伸びる。1組のウェハが研磨される間に他のウェハの組(または他の複数のウェハの組)をロードしたりアンロードしたりすることが可能であることは、従来のCMPプロセスの関連技術から考えられるであろう。更に、ウェハヘッド41は、実質的に動くことがないハンドリングアーム47上に固定した状態にすることができ、または別の形態として、ウェハヘッド41が研磨ベルト1に対して垂直な軸線周りに回転運動したり、あるいはハンドリングアーム47に沿って上下に移動することにより振動的な回動を行うようにしたり、これらの運動を組み合わせを行えるような形態で設けることができる。
【0035】
この様々な設置形態で設置できるベルト式CMP装置は、異なる研磨パッドを用いることにより、一次研磨加工用にもタッチアップ段階の研磨加工用にも使用することができる。一次研磨加工であれタッチアップ段階の加工であれ、研磨加工が終了すると、圧縮シリンダまたは他の加圧手段42が引き込まれ、ウェハが研磨パッド付きベルトから離れる方向に動く。ここで、ウェハは絶縁厚みの検出のため、または次の研磨加工段階のためにモニタされる。ウェハは、ローディング及びアンローディングステーションに戻された後、最終的な製品に仕上げるべく洗浄のためのクリーニングステーションに送られる。
【0036】
ウェハ支持部の数、即ち本発明による複数の設置形態をとれるベルト式CMP装置によって研磨加工されるウェハの数は、研磨ベルト1のサイズ及び長さやウェハ支持部23のサイズに応じて変わってくる。例えば、本発明はこれに限定されるものではないが図面に示した実施例では、固定プーリ取付具5の一方の側にある2つのウェハ支持部23は8インチウェハ用であり、もう一方のウェハ支持部は12インチウェハ用である。研磨ベルト1のサイズ及び長さを変えると、この複数の設置形態をとれるベルト式CMP装置は、複雑な機械的制御機構を追加することなく、同時により多くの数のウェハ研磨加工を行うことができるようになる。従って、本発明のCMP装置は、より小さいウェハの加工スループットを高めるのみならず、より大きいウェハの加工にも容易に適用できる柔軟性をも備えている。ウェハ支持部23は並べて配置され(8インチウェハ用の場合)、研磨加工中に1つのウェハが破損した場合に他のウェハが損傷を受けないようになっている。ウェハ支持部23は、ウェハの直径よりわずかに大きく、これによってベルトのたるみや曲がりを防いで、ウェハの表面がパッド付きベルト1の表面と常に平行にある状態を確保できるようにしている。従来より良く知られている研磨パッドコンディショナ(図示せず)は、ベルト1の前後に設けられており(ベルトがプーリ2及び6を回る前の部分)、研磨パッド1bに付着した粒子を取り除いたり、次のウェハに向かって進んで行く前にベルトの状態を良好にする役目を果たしている。
【0037】
本発明によるCMP装置は、様々な設置形態をとり得るということにより、CMP装置が固定され得る設置面に関して様々な面の占有形態をとり得るという利点を有する。CMP装置の設置時の形態は、研磨ベルト1の(またはウェハ支持部23の)、CMP装置が設置される床部に対する向きによって定められる。研磨ベルト1が掛けられたプーリ向きが床に対して垂直な向きでベルトが床と平行に走行する場合(図1参照)、装置は垂直向きと称する。CMP装置の別の形態は、図17に示されているように、研磨ベルトが床に対して垂直な方向に走行するような形態であり、研磨ベルトが掛けられたプーリが床に対して水平である状態である。このような装置の形態を水平向きと称する。何れの場合にも、CMP装置が垂直向きであれ、水平向きであれ、これに関わりなく、本発明のCMP装置は設置面に対して様々な設置形態で空間的に配置されうる。このとき、ウェハまたは他の基板はハンドリング手段により、ベルト式研磨手段1によって定められる研磨平面に対して一定の向きをもって保持され、また前記研磨平面に対して垂直なベクトルは重力加速度ベクトルに対して実質的に非平行、好ましくは垂直となる。
【0038】
本発明による複数の設置形態で設置できるベルト式CMP装置の利点は、図14〜図16の実施例を参照することによりより良く理解されるであろう。ここでは、複数の設置形態をとりうるベルト式CMP装置が複数個、空間を節約し、ウェハのロード及びアンロードの効率を高め、異なるニーズや目的に適合するように様々な配置形態で配置されている。即ち、図14に示すのは積み重ね型配置、図15に示すのは並列型配置、また図16に示すのは直列型配置である。
【0039】
設置時に複数の設置形態をとり得るベルト式CMP装置の、従来のロータリー式CMP装置と比較した場合の利点は明らかである。第1に、ベルト1がウェハの材料や必要な除去される厚みにも応じて10〜80ft/秒(3〜24m/秒)の範囲の速度で直線的に移動する。この直線運動は均一で、ベルト上及びウェハ上のいかなる点においても速度が等しい。このことによって、ウェハ上のいかなる点においても等しい研磨速度が得られ、従来のロータリー式CMP装置において見られた不都合なエッジ効果が除去される。第2に、複数の設置形態をとり得るベルト式CMP装置は、ウェハを研磨するために3つの回転運動の代わりに1つの直線運動を用いており、これによってCMP装置の構造が著しく単純化され、装置の製造コスト及びメンテナンスコストが低減し、動作時の信頼性が高められることになる。更に、ウェハに均一な圧力を加えながら直線運動することにより、ウェハが破損するリスクが低減し、特に値段の高いより大型のウェハの加工に用いるのに適するものとなる。更に、垂直向きの設置形態を取った場合ベルト式CMP装置の設置占有面積(フットプリント)は劇的に小さくなる。というのは、垂直向きの設置形態を取るために必要な床面積が極めて小さいからである。このような垂直向きで設置された装置は、直列型配置が可能なので、所定の時間に処理できるウェハの数が増加し、従来のロータリーにCMP装置と比較して研磨加工において高スループットが達成できることになる。
【0040】
ベルト式CMP装置は、上述の実施例の他、ウェハ、フラットパネルディスプレイ(FPD)、ハードディスクドライブ(HDD)、ハードディスク磁気ドライブ、または研磨処理が必要な平坦面を有する他の物体または基板の研磨に使用することもできる。但し発明の範囲はこれらの実施例に限定されるものではない。
【0041】
また、上述の開示内容を参照することにより、本発明の範囲を逸脱することなく当業者は様々な改変を加えた形態の本発明の実施が可能であろう。
【0042】
【発明の効果】
以上より、本発明により、実質的にスループットが高く、設置占有面積が小さく、基板全体に亘って均一なより高速の相対速度が得られ、既存のものよりよりコンパクトな機構で高度な平坦化が行えるような、床に対する装置の向きを変えた様々な形態で設置できる化学的機械的平坦化処理用のベルト式研磨装置が実現できる。
【0043】
また、本発明により、従来の研磨機や研磨方法を用いて取り扱うには不適切で望ましくないような大きなサイズのウェハやFPDを研磨するのにより適するように設計された化学的機械的平坦化処理用のベルト式研磨装置が得られる。
【0044】
更に、本発明により現在の回転式プラテンのサイズを大きくせずに高い相対速度を得ることができ、かつあまり嵩張らない構成のベルト式CMP装置であって、現在の回転式プラテンで必要な大きくて嵩張る支持部が不要の固定式ウェハ支持部を備えており、このため装置を床に対して概ね垂直な方向に延びる形態で設置し、装置の設置占有面積を小さく抑えることができるという利点を有する化学的機械的平坦化処理用のベルト式研磨装置が得られる。
【0045】
更に、本発明により、生産上の信頼性を高め、機械のメンテナンスのための処理中断の頻度を減らすとともに、高いスループットと品質の良い加工物が得られて、この結果コストを低減することができるようなベルト式ウェハ研磨装置が得られる。
【0046】
この他、本発明により、上述のようなベルト式研磨装置を用いた研磨方法が提供される。
【図面の簡単な説明】
【図1】本発明の好適実施例によるベルト式研磨パッドを備えたCMP装置の模式図。
【図2】本発明の好適実施例によるベルト式研磨パッドを備えたCMP装置の側面図。
【図3】本発明の好適実施例によるベルト式研磨パッドを備えたCMP装置の平面図。
【図4】本発明によるハンドリング構造の平面図。
【図5】移動位置及び研磨位置にあるハンドリング構造を示した図。
【図6】ベルト式研磨パッドに上からロードされるトップローディング式ハンドリング構造を示した図。
【図7】直線運動及び回転運動を可能にするシャフト機構の研磨シャフト及びピボットシャフトを示した図。
【図8】支持体上に取着されたシャフト機構を示した図。
【図9】本発明の好適実施例による、調節可能プーリ取付具の平面図及び側面図。
【図10】本発明による調節可能プーリ取付具の及びウェハ支持部の平面図及び側面図。
【図11】本発明による調節可能プーリ取付具の及びウェハ支持部の平面図及び側面図。
【図12】調節可能プーリ取付具を支持する取付具を示した図。
【図13】直線運動及び回転運動を可能にするスラスト玉軸受を示した図。
【図14】積み重ね型配置で配置された本発明の好適実施例によるCMP装置の斜視図。
【図15】本発明の好適実施例による一連のCMP装置群が並列型配置で配置されているところ示した斜視図。
【図16】本発明の好適実施例による一連のCMP装置群が直列型配置で配置されているところを示した斜視図。
【図17】本発明によるCMP装置群が水平向きの設置形態で設置されているところを示した斜視図。
【符号の説明】
1 ループ式ベルト
1a 研磨パッド
2 固定プーリ
3 シャフト
5 固定プーリ取付具
5a 上側部材
5b 下側部材
5c 垂直部材
6 調節可能プーリ
7 結合手段
8 ACモータ
9 プーリシャフト
14 ボールねじの対
15 第1のボールナットの対
15a 第2のボールナットの対
16 スラスト玉軸受
17 玉軸受
18 シャフト機構
19 研磨された孔
20 調節ねじの対
21 調節可能プーリ取付具
21a (調節可能プーリ取付具の)上側ブロック
21b (調節可能プーリ取付具の)下側ブロック
21c (調節可能プーリ取付具の)垂直ブロック
21d ねじ
23 ウェハ支持部
23a ウェハシャフト
23b ウェハシャフトピン
23c シャフトリミット
24 第1の調節アームの対
24b 第2の調節アームの対
25 ばね
26 ピボットシャフト
27 研磨されたシャフト
28 ウォームギヤ
29 ベース部
35 支持体
36 ヨーク
36a (ヨークの)サイドアーム
36b (ヨークの)横部材
36c (ヨークの)凹部
37 孔
40 ウェハハンドリング構造
41 ウェハヘッド
42 加圧手段
43 フランジ付ベース部
44 シャフトピボット
45 吸引ライン
46 圧縮空気ライン
47 ハンドリングアーム

Claims (91)

  1. それぞれ研磨される平坦面を有する1つまたは複数の物体の化学的機械的平坦化処理のための研磨装置であって、
    前記平坦面を研磨するための研磨手段であって、外側表面に研磨パッドを取着したループ式ベルトを含む、該研磨手段と、
    前記ループ式ベルトを、前記研磨パッドが前記平坦面上の各点に対して直線運動するように駆動させるための前記研磨手段に結合された駆動手段と、
    前記研磨手段を保持するための保持手段と、
    前記物体の研磨のために前記研磨手段に前記物体を押しつけるハンドリング手段であって、研磨処理中に前記研磨パッドによって同時に複数の前記物体の前記平坦面が研磨され得るように前記ループ式ベルトに沿った複数の位置に前記物体を配置し、前記複数の位置のなかに、それぞれの位置にある前記物体の前記平坦面が互いに対向するような2つの位置の組が1組以上含まれる、該ハンドリング手段とを有することを特徴とし、
    前記装置がそれが固定される設置面に対する複数の設置形態のなかの1つの設置形態で空間的に配置され、該装置において、研磨処理中に前記ハンドリング手段により保持される複数の前記物体の前記平坦面に対する垂直ベクトルのそれぞれが重力加速度ベクトルに対して実質的に非平行となるように該装置が設置されることを特徴とする装置。
  2. 前記保持手段が固定されるベース部を更に含むことを特徴とする請求項1に記載の装置。
  3. 前記物体が半導体材料であることを特徴とする請求項1に記載の装置。
  4. 前記半導体材料が、ウェハであることを特徴とする請求項3に記載の装置。
  5. 前記半導体材料が、フラットパネルディスプレイであることを特徴とする請求項3に記載の装置。
  6. 前記物体が磁気データ記憶材料であることを特徴とする請求項1に記載の装置。
  7. 前記磁気データ記憶材料がハードディスクドライブであることを特徴とする請求項6に記載の装置。
  8. 前記保持手段が第1プーリ及び第2プーリを含み、前記第1プーリが前記駆動手段によって駆動されて、これにより前記ループ式ベルトが前記第1プーリと前記第2プーリの周りで走行することを特徴とする請求項1に記載の装置。
  9. 前記保持手段が、前記第1プーリ及び前記第2プーリをそれぞれ保持する第1プーリ取付具及び第2プーリ取付具を更に含むことを特徴とする請求項8に記載の装置。
  10. 前記第1プーリ取付具が、その前記ループ式ベルトを挟んで前記ハンドリング手段の反対側の前記ループ式ベルトの内側表面に対向する各面に着脱自在に取り付けられた複数のウェハ支持部を更に有し、これにより研磨される前記物体が反対側から支持されることを特徴とする請求項9に記載の装置。
  11. 前記ウェハ支持部の数及び直径を様々に変えることが可能であることを特徴とする請求項10に記載の装置。
  12. 前記第1プーリ取付具が前記ベース部上に固定されていることを特徴とする請求項9に記載の装置。
  13. 前記第2プーリ取付具が、前記ループ式ベルトの張りを制御するために前記第2プーリ取付具及び前記第2プーリを調節するプーリ調節手段を有することを特徴とする請求項9に記載の装置。
  14. 前記プーリ調節手段が、
    前記ループ式ベルトにより定められた平面に沿った前記第1プーリ取付具と前記第2プーリ取付具との間隔を前記第2プーリ取付具を直線方向に移動することにより調節する第1制御手段と、
    前記ループ式ベルトにより定められる平面に対して垂直な軸線周りに前記第2プーリ取付具を回動するように動かして制御する第2制御手段と、
    前記直線方向の移動の方向に延びる軸線周りに前記第2プーリ取付具を回動させて調節する第3制御手段とを含むことを特徴とする請求項13に記載の装置。
  15. 前記プーリ調節手段が複数の取り付け孔を有する支持手段を更に有し、前記第1制御手段、前記第2制御手段、及び前記第3制御手段が前記支持手段に前記取り付け孔を介して着脱自在に取り付けられるように前記支持手段が前記ベース部上に固定されていることを特徴とする請求項14に記載の装置。
  16. 前記第1制御手段が、丸い研磨されたシャフト、丸いピボットシャフト、及びヨークからなるシャフト機構を含むことを特徴とし、
    前記研磨されたシャフトが前記ヨークの一端の下側に固定的に結合され、かつ前記ピボットシャフトが前記ヨークの別の末端に着脱自在に結合されて前記シャフト機構が形成されており、更に前記研磨されたシャフトが摺動自在に前記支持手段の前記取り付け孔の1つに取り付けられ、かつ前記ピボットシャフトが摺動自在に前記プーリ取付具の取り付け部位に結合されて、これにより前記第1プーリ取付具からの距離を調節するための前記第2プーリ取付具の前記直線方向の移動を制御していることを特徴とする請求項14に記載の装置。
  17. 前記第2制御手段が、2つの独立して調節可能なボールねじ及びボールナットを含み、両者がそれぞれの一端が確実かつ調節可能な形態で前記支持手段に前記取り付け孔を介して結合され、それぞれの他端は前記第2プーリ取付具に結合されており、これにより前記ループ式ベルトの平面に対して垂直な軸線周りの前記第2プーリ取付具の回動が制御されることを特徴とする請求項14に記載の装置。
  18. 前記2つの独立して調節可能なボールねじ及びボールナットが、同じ方向または反対方向に調節可能であることを特徴とする請求項17に記載の装置。
  19. 前記第3制御手段が、一対の独立した調節手段を含み、前記独立した調節手段のそれぞれの一端が前記支持手段に固定され、それぞれの他端は確実かつ調節可能な形態で前記第2プーリ取付具に取り付けられて、これにより前記第2プーリ取付具の前記直線方向の移動の方向に延びる軸線周りの回動が制御されることを特徴とする請求項14に記載の装置。
  20. 前記独立した調節手段のそれぞれが、
    前記第2プーリ取付具の両側を保持することによって互いに離された一対の対向する調節アームと、
    第1の調節アームに設けられた調節ねじが係合したウォームギアと、
    第2の調節アームの前記ウォームギアの反対側に設けられた底部を有する孔に配設されたばねとを有し、
    前記ばねが前記調節ねじに対する抗力を与えており、前記調節ねじを調節することによって第2プーリ取付具を締めたり緩めたりできることを特徴とする請求項19に記載の装置。
  21. 前記ハンドリング手段が、一対の対向するアームが延びているベース部材を有し、前記アームのそれぞれがウェハをその研磨のために保持する複数のウェハキャリアを有していることを特徴とする請求項1に記載の装置。
  22. 前記ハンドリング手段が、ウェハを前記研磨パッドに近づけたり離したりして、ウェハを前記研磨パッドに押しつける力を付与する加圧手段を含むことを特徴とする請求項21に記載の装置。
  23. 前記加圧手段が液体シリンダであることを特徴とする請求項22に記載の装置。
  24. 前記加圧手段が電気モータであることを特徴とする請求項22に記載の装置。
  25. 前記駆動手段が電気モータであることを特徴とする請求項1に記載の装置。
  26. 前記設置面が床であって、その床の上に前記装置が前記平坦面に対する垂直ベクトルが重力加速度ベクトルに対して垂直で、前記装置の設置占有面積を小さくなるような空間的形態で設置されることを特徴とする請求項1に記載の装置。
  27. 前記設置面が床に対して鉛直な壁であって、前記平坦面に対する垂直ベクトルが重力加速度ベクトルに対して垂直で、前記装置の床上での設置占有面積が小さくなるようにされていることを特徴とする請求項1に記載の装置。
  28. 前記ウェハ支持部が、前記研磨手段の回転する動きに対して固定されていることを特徴とする請求項11に記載の装置。
  29. 前記ウェハ支持部が前記研磨手段の回転する動きに対して回転することを特徴とする請求項11に記載の装置。
  30. 前記ウェハ支持部が前記研磨手段の回転する動きに対して振幅運動することを特徴とする請求項11に記載の装置。
  31. 前記ハンドリング手段が吸引力源を有し、前記ウェハキャリアが吸引によってウェハを保持できるようにしていることを特徴とする請求項21に記載の装置。
  32. それぞれ研磨される平坦面を有する1つまたは複数の物体の化学的機械的平坦化処理のための研磨装置であって、
    前記平坦面上の任意の点で均一の研磨速度を与える、外側表面に取着された研磨パッドを有するループ式ベルトと、
    前記ループ式ベルトを前記平坦面上の各点に対して直線運動するように駆動させる駆動手段と、
    前記ループ式ベルトを保持し、かつ前記ループ式ベルトの調節のための調節機構となるプーリアセンブリと、
    複数の前記物体を、複数の位置においてその研磨のために前記ループ式ベルトに押しつける形で保持するハンドリング手段であって、前記複数の位置のなかに、それぞれの位置にある前記物体の前記平坦面が互いに対向するような2つの位置の組が1組以上含まれる、該ハンドリング手段とを有することを特徴とし、
    前記装置がそれが固定される設置面に対する複数の設置形態のなかの1つの設置形態で空間的に配置され、該装置において、研磨処理中に前記ハンドリング手段により保持される複数の前記物体の前記平坦面に対する垂直ベクトルのそれぞれが重力加速度ベクトルに対して実質的に非平行となるように該装置が設置されることを特徴とする装置。
  33. 前記プーリアセンブリの一部分が固定されるベース部を更に有することを特徴とする請求項32に記載の装置。
  34. 前記物体が半導体材料であることを特徴とする請求項32に記載の装置。
  35. 前記半導体材料がウェハ若しくはフラットパネルディスプレイであることを特徴とする請求項34に記載の装置。
  36. 前記物体が磁気データ記憶材料であることを特徴とする請求項32に記載の装置。
  37. 前記磁気データ記憶材料がハードディスクドライブであることを特徴とする請求項36に記載の装置。
  38. 前記プーリアセンブリが第1プーリ及び第2プーリを含み、前記第1プーリが前記駆動手段によって駆動されて、これにより前記ループ式ベルトが前記第1プーリと前記第2プーリの周りで走行することを特徴とする請求項32に記載の装置。
  39. 前記プーリアセンブリが、前記第1プーリと第2プーリとをそれぞれ保持する第1プーリ取付具及び第2プーリ取付具を更に含むことを特徴とする請求項38に記載の装置。
  40. 前記第1プーリ取付具が、その前記ループ式ベルトを挟んで前記ハンドリング手段の反対側の前記ループ式ベルトの内側表面に対向する各面に着脱自在に取り付けられた複数のウェハ支持部を更に有し、これにより研磨される前記物体が反対側から支持されることを特徴とする請求項39に記載の装置。
  41. 前記ウェハ支持部の数及び直径を様々に変えることが可能であることを特徴とする請求項40に記載の装置。
  42. 前記第1プーリ取付具が前記ベース部上に固定されていることを特徴とする請求項41に記載の装置。
  43. 前記第2プーリ取付具が、前記ループ式ベルトの張りを制御するために前記第2プーリ取付具及び前記第2プーリを調節するプーリ調節手段を含むことを特徴とする請求項42に記載の装置。
  44. 前記プーリ調節手段が、
    前記ループ式ベルトにより定められた平面に沿った前記第1プーリ取付具と前記第2プーリ取付具との間隔を前記第2プーリ取付具を直線方向に移動することにより調節する第1制御手段と、
    前記ループ式ベルトにより定められる平面に対して垂直な軸線周りに前記第2プーリ取付具を回動するように動かして制御する第2制御手段と、
    前記直線方向の移動の方向に延びる軸線周りに前記第2プーリ取付具を回動させて調節する第3制御手段とを含むことを特徴とする請求項43に記載の装置。
  45. 前記プーリ調節手段が複数の取り付け孔を有する支持手段を更に有し、前記第1制御手段、前記第2制御手段、及び前記第3制御手段が前記支持手段に前記取り付け孔を介して着脱自在に取り付けられるように前記支持手段が前記ベース部上に固定されていることを特徴とする請求項44に記載の装置。
  46. 前記第1制御手段が、丸い研磨されたシャフト、丸いピボットシャフト、及びヨークからなるシャフト機構を含むことを特徴とし、
    前記研磨されたシャフトが前記ヨークの一端の下側に固定的に結合され、かつ前記ピボットシャフトが前記ヨークの別の末端に着脱自在に結合されて前記シャフト機構が形成されており、更に前記研磨されたシャフトが摺動自在に前記支持手段の前記取り付け孔の1つに取り付けられ、かつ前記ピボットシャフトが摺動自在に前記プーリ取付具の取り付け部位に結合されて、これにより前記第1プーリ取付具からの距離を調節するための前記第2プーリ取付具の前記直線方向の移動を制御していることを特徴とする請求項44に記載の装置。
  47. 前記第2制御手段が、2つの独立して調節可能なボールねじ及びボールナットを含み、両者がそれぞれの一端が確実かつ調節可能な形態で前記支持手段に前記取り付け孔を介して結合され、それぞれの他端は前記第2プーリ取付具に結合されており、これにより前記ループ式ベルトの平面に対して垂直な軸線周りの前記第2プーリ取付具の回動が制御されることを特徴とする請求項44に記載の装置。
  48. 前記2つの独立して調節可能なボールねじ及びボールナットが、同じ方向または反対方向に調節可能であることを特徴とする請求項47に記載の装置。
  49. 前記第3制御手段が、一対の独立した調節手段を含み、前記独立した調節手段のそれぞれの一端が前記支持手段に固定され、それぞれの他端は確実かつ調節可能な形態で前記第2プーリ取付具に取り付けられて、これにより前記第2プーリ取付具の前記直線方向の移動の方向に延びる軸線周りの回動が制御されることを特徴とする請求項44に記載の装置。
  50. 前記独立した調節手段のそれぞれが、
    前記第2プーリ取付具の両側を保持することによって互いに離された一対の対向する調節アームと、
    第1の調節アームに設けられた調節ねじが係合したウォームギアと、
    第2の調節アームの前記ウォームギアの反対側に設けられた底部を有する孔に配設されたばねとを有し、
    前記ばねが前記調節ねじに対する抗力を与えており、前記調節ねじを調節することによって第2プーリ取付具を締めたり緩めたりできることを特徴とする請求項49に記載の装置。
  51. 前記ハンドリング手段が、一対の対向するアームが延びているベース部材を有し、前記アームのそれぞれがウェハをその研磨のために保持する複数のウェハキャリアを有していることを特徴とする請求項32に記載の装置。
  52. 前記ハンドリング手段が、ウェハを前記研磨パッドに近づけたり離したりして、ウェハを前記研磨パッドに押しつける力を付与する加圧手段を含むことを特徴とする請求項51に記載の装置。
  53. 前記加圧手段が液体シリンダであることを特徴とする請求項52に記載の装置。
  54. 前記加圧手段が電気モータであることを特徴とする請求項52に記載の装置。
  55. 前記駆動手段が電気モータであることを特徴とする請求項52に記載の装置。
  56. 前記設置面が床であって、その床の上に前記装置が前記平坦面に対する垂直ベクトルが重力加速度ベクトルに対して垂直で、前記装置の設置占有面積を小さくなるような空間的形態で設置されることを特徴とする請求項32に記載の装置。
  57. 前記設置面が床に対して鉛直な壁であって、前記平坦面に対する垂直ベクトルが重力加速度ベクトルに対して垂直で、前記装置の床上での設置占有面積が小さくなるようにされていることを特徴とする請求項32に記載の装置。
  58. 前記ハンドリング手段が吸引力源を有し、前記ウェハキャリアが吸引によってウェハを保持できるようにしていることを特徴とする請求項51に記載の装置。
  59. それぞれ平坦面を有する1つまたは複数の物体を化学的機械的平坦化処理するための研磨方法であって、
    研磨手段に安定的に接触した状態で複数の前記物体を複数の位置において保持するハンドリング手段に前記物体を設置する過程であって、前記複数の位置のなかに、それぞれの位置にある前記物体の前記平坦面が互いに対向するような2つの位置の組が1組以上含まれる、該過程と、
    前記物体に対して所望の研磨量が達成されるまでの十分な時間をかけて前記平坦面を研磨するべく、駆動手段によって前記研磨手段を前記平坦面上の各点に対して直線運動させるように駆動させる過程とを有することを特徴とし、
    前記研磨手段が設置面に固定的に設置されており、研磨処理中に前記ハンドリング手段により保持される複数の前記物体の前記平坦面に対する垂直ベクトルのそれぞれが重力加速度ベクトルに対して実質的に非平行であることを特徴とする平坦面を有する物体の研磨方法。
  60. 前記研磨手段が研磨パッドをその表面に取着したループ式ベルトを含むことを特徴とする請求項59に記載の平坦面を有する物体の研磨方法。
  61. 前記ハンドリング手段が、前記物体を前記研磨手段に確実に接触した形で保持し、前記平坦面上の任意の点で均一な研磨速度を達成するハンドリング構造であることを特徴とする請求項60に記載の平坦面を有する物体の研磨方法。
  62. 前記ハンドリング手段が、前記物体をそれが前記研磨手段に対してある程度往復運動や回転運動できるように保持して、前記平坦面上の任意の点で均一な研磨速度を達成するハンドリング構造であることを特徴とする請求項60に記載の平坦面を有する物体の研磨方法。
  63. 前記物体が半導体材料であることを特徴とする請求項59に記載の平坦面を有する物体の研磨方法。
  64. 前記半導体材料がウェハ若しくはフラットパネルディスプレイであることを特徴とする請求項60に記載の平坦面を有する物体の研磨方法。
  65. 前記物体が磁気データ記憶材料であることを特徴とする請求項59に記載の平坦面を有する物体の研磨方法。
  66. 前記磁気データ記憶材料がハードディスクドライブであることを特徴とする請求項65に記載の平坦面を有する物体の研磨方法。
  67. 前記研磨平面に対して実質的に非平行な前記垂直ベクトルの向きが、重力加速度ベクトルに対して垂直であることを特徴とする請求項59に記載の平坦面を有する物体の研磨方法。
  68. それぞれ平坦面を有する1つまたは複数の物体を化学的機械的平坦化処理するための研磨加工のスループットを高める方法であって、
    ベルト式研磨手段に安定的に接触した状態で複数の前記物体を複数の位置において保持するハンドリング手段に前記物体を設置する過程であって、前記位置のなかの2つの位置は、複数の前記物体の前記平坦面が互いに対向するような位置である、該過程と、
    前記物体に対して所望の研磨量が達成されるまでの十分な時間をかけて300フィート/分以上の相対速度で前記平坦面を研磨するべく駆動手段により前記ベルト式研磨手段を前記平坦面上の各点に対して直線運動させるように駆動させる過程とを有することを特徴とし、
    前記ベルト式研磨手段が設置面に確実に固定され、研磨処理中に前記ハンドリング手段により保持される複数の前記物体の前記平坦面に対する垂直ベクトルのそれぞれが重力加速度ベクトルに対して実質的に非平行であることを特徴とする平坦面を有する物体の研磨加工のスループットを高める方法。
  69. 前記ハンドリング手段が、前記平坦面上の任意の点で均一な研磨速度が得られるように前記物体を前記研磨手段に対して押しつける形で保持するハンドリング構造であることを特徴とする請求項68に記載の平坦面を有する物体の研磨加工のスループットを高める方法。
  70. 前記物体が半導体材料であることを特徴とする請求項69に記載の平坦面を有する物体の研磨加工のスループットを高める方法。
  71. 前記半導体材料がウェハ若しくはフラットパネルディスプレイであることを特徴とする請求項70に記載の平坦面を有する物体の研磨加工のスループットを高める方法。
  72. 前記物体が磁気データ記憶材料であることを特徴とする請求項68に記載の平坦面を有する物体の研磨加工のスループットを高める方法。
  73. 前記磁気データ記憶材料がハードディスクドライブであることを特徴とする請求項72に記載の平坦面を有する物体の研磨加工のスループットを高める方法。
  74. 前記研磨平面に対して垂直なベクトルの重力加速度ベクトルに対する実質的に非平行な向きが、重力加速度ベクトルに対して垂直であることを特徴とする請求項68に記載の平坦面を有する物体の研磨加工のスループットを高める方法。
  75. それぞれ平坦面を有する1つまたは複数の物体の化学的機械的平坦化処理のための研磨装置の設置占有面積を小さくする方法であって、
    前記装置のベルト式研磨手段に安定的に接触するように複数の前記物体を複数の位置において保持する前記装置のハンドリング手段に前記物体を設置する過程であって、前記複数の位置のなかに、それぞれの位置にある前記物体の前記平坦面が互いに対向するような2つの位置の組が1組以上含まれる、該過程と、
    前記物体に対して所望の研磨量が達成されるまでの十分な時間をかけて前記平坦面を研磨するべく、駆動手段により前記ベルト式研磨手段を前記平坦面上の各点に対して直線運動させるように駆動させる過程とを有することを特徴とし、
    前記ベルト式研磨手段が設置面に固定的に設置され、研磨処理中に前記ハンドリング手段により保持される複数の前記物体の前記平坦面に対する垂直ベクトルのそれぞれが重力加速度ベクトルに対して実質的に非平行であることを特徴とする平坦面を有する物体の研磨装置の設置占有面積を小さくする方法。
  76. 前記ハンドリング手段が、前記平坦面上の任意の点に対して均一な研磨速度を達成するために前記物体をそれが前記研磨手段に対して確実に押しつけられた状態で保持するハンドリング構造であることを特徴とする請求項75に記載の平坦面を有する物体の研磨装置の設置占有面積を小さくする方法。
  77. 前記物体が半導体材料であることを特徴とする請求項76に記載の平坦面を有する物体の研磨装置の設置占有面積を小さくする方法。
  78. 前記半導体材料がウェハ若しくはフラットパネルディスプレイであることを特徴とする請求項77に記載の平坦面を有する物体の研磨装置の設置占有面積を小さくする方法。
  79. 前記物体が磁気データ記憶材料であることを特徴とする請求項76に記載の平坦面を有する物体の研磨装置の設置占有面積を小さくする方法。
  80. 前記磁気データ記憶材料がハードディスクドライブであることを特徴とする請求項79に記載の平坦面を有する物体の研磨装置の設置占有面積を小さくする方法。
  81. 前記装置が前記平坦面に対する垂直ベクトルの重力加速度ベクトルに対する実質的に非平行な向きが、重力加速度ベクトルに対して垂直になる設置形態で装置が設置されていることを特徴とする請求項75に記載の平坦面を有する物体の研磨装置の設置占有面積を小さくする方法。
  82. それぞれ平坦面を有する1つまたは複数の物体を化学的機械的平坦化処理するための研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法であって、
    研磨のための一連の研磨装置を備える過程であって、前記装置が、(a)前記平坦面を研磨するためのベルト式研磨手段と、(b)前記研磨手段を駆動させるための前記ベルト式研磨手段に結合された駆動手段と、(c)前記ベルト式研磨手段を保持するための保持手段と、(d)複数の前記物体を複数の位置において前記ベルト式研磨手段に研磨のために押し当てた状態で保持するハンドリング手段であって、前記複数の位置のなかに、それぞれの位置にある前記物体の前記平坦面が互いに対向するような2つの位置の組が1組以上含まれる、該ハンドリング手段とを有し、(e)前記装置がそれが固定される設置面に対して様々な設置形態で空間的に配置され、該装置において、研磨処理中に前記ハンドリング手段により保持される複数の前記物体の前記平坦面に対する垂直ベクトルのそれぞれが重力加速度ベクトルに対して実質的に非平行となるように該装置が設置される、該過程と、
    前記物体を前記ベルト式研磨手段に対して安定的に接触した状態に保持する前記ハンドリング手段に前記物体を設置する過程と、
    前記物体に対して所望の研磨量が達成されるまでの十分な時間をかけて前記平坦面を研磨するべく、前記駆動手段により前記ベルト式研磨手段を前記平坦面上の各点に対して直線運動させるように駆動させる過程とを有することを特徴とする平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  83. 前記ハンドリング手段が、前記平坦面上の任意の点において均一な研磨速度を達成するように前記物体を前記研磨手段に対して確実に保持するハンドリング構造であることを特徴とする請求項82に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  84. 前記物体が半導体材料であることを特徴とする請求項82に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  85. 前記半導体材料がウェハ若しくはフラットパネルディスプレイであることを特徴とする請求項84に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  86. 前記物体が磁気データ記憶材料であることを特徴とする請求項82に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  87. 前記磁気データ記憶材料がハードディスクドライブであることを特徴とする請求項86に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  88. 前記平坦面に対する垂直ベクトルの重力加速度に対して非平行である向きが、重力加速度ベクトルに対して垂直であることを特徴とする請求項85に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  89. 前記一連の装置が、装置のそれぞれが互いに平行に並べられた配置形態である並列型配置で空間的に配置されていることを特徴とする請求項82に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  90. 前記一連の装置が、隣接する装置が前後に並べられた配置形態である直列型配置で空間的に配置されていることを特徴とする請求項82に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
  91. 前記一連の装置が、隣接するものの一方が他方の上に載せられた配置形態である積み重ね型配置で配置されていることを特徴とする請求項82に記載の平坦面を有する物体の研磨加工において研磨装置の設置占有面積を小さくすると共に高いスループットを達成する方法。
JP03936098A 1997-02-21 1998-02-20 ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法 Expired - Fee Related JP3911082B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/803,623 US6059643A (en) 1997-02-21 1997-02-21 Apparatus and method for polishing a flat surface using a belted polishing pad
US08/803,623 1997-02-21

Publications (2)

Publication Number Publication Date
JPH10309662A JPH10309662A (ja) 1998-11-24
JP3911082B2 true JP3911082B2 (ja) 2007-05-09

Family

ID=25187034

Family Applications (1)

Application Number Title Priority Date Filing Date
JP03936098A Expired - Fee Related JP3911082B2 (ja) 1997-02-21 1998-02-20 ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法

Country Status (7)

Country Link
US (2) US6059643A (ja)
EP (1) EP0860239B1 (ja)
JP (1) JP3911082B2 (ja)
KR (1) KR100488301B1 (ja)
CN (1) CN1083754C (ja)
DE (1) DE69823407T2 (ja)
TW (1) TW393375B (ja)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6336845B1 (en) * 1997-11-12 2002-01-08 Lam Research Corporation Method and apparatus for polishing semiconductor wafers
US6241583B1 (en) * 1999-02-04 2001-06-05 Applied Materials, Inc. Chemical mechanical polishing with a plurality of polishing sheets
US6244935B1 (en) 1999-02-04 2001-06-12 Applied Materials, Inc. Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet
US6475070B1 (en) * 1999-02-04 2002-11-05 Applied Materials, Inc. Chemical mechanical polishing with a moving polishing sheet
US6626744B1 (en) 1999-12-17 2003-09-30 Applied Materials, Inc. Planarization system with multiple polishing pads
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US7077733B1 (en) * 2000-08-31 2006-07-18 Micron Technology, Inc. Subpad support with a releasable subpad securing element and polishing apparatus including the subpad support
US6942545B2 (en) * 2001-04-20 2005-09-13 Oriol, Inc. Apparatus and method for sequentially polishing and loading/unloading semiconductor wafers
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
KR20040020147A (ko) * 2002-08-29 2004-03-09 삼성전자주식회사 잉크카트리지
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7329586B2 (en) * 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
CN101987429B (zh) * 2009-08-07 2012-09-26 中芯国际集成电路制造(上海)有限公司 化学机械研磨方法和装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5618708B2 (ja) * 2010-08-27 2014-11-05 ワイエス株式会社 コンベア装置
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11077536B2 (en) 2016-06-24 2021-08-03 Applied Materials, Inc. Slurry distribution device for chemical mechanical polishing
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN107457633B (zh) * 2017-07-28 2019-02-01 义乌市台荣超硬制品有限公司 一种重力恒压平磨机
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109333273A (zh) * 2018-11-26 2019-02-15 广州和兴机电科技有限公司 一种整平拉丝一体机
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2416493A (en) * 1945-02-26 1947-02-25 Herman S Newton Sanding belt machine
US2934279A (en) * 1955-06-29 1960-04-26 Minnesota Mining & Mfg Production of groundwood pulp
US3656265A (en) * 1969-10-14 1972-04-18 Schaffner Mfg Co Inc Method of making an abrasive belt
US3908316A (en) * 1974-09-04 1975-09-30 Lok Box Inc Multi-station simultaneous dual-side sanding machine
US4535568A (en) * 1983-11-18 1985-08-20 Lafave Charles H Belt sander
JPH01257558A (ja) * 1988-04-06 1989-10-13 Shigeru Hasegawa 傾斜自在型のベルトサンダー
JPH01271157A (ja) * 1988-04-20 1989-10-30 Brother Ind Ltd 磁気ディスク媒体の製造方法
DE69512971T2 (de) * 1994-08-09 2000-05-18 Ontrak Systems Inc Linear Poliergerät und Wafer Planarisierungsverfahren
US5575707A (en) * 1994-10-11 1996-11-19 Ontrak Systems, Inc. Polishing pad cluster for polishing a semiconductor wafer
US5593344A (en) * 1994-10-11 1997-01-14 Ontrak Systems, Inc. Wafer polishing machine with fluid bearings and drive systems
US5791969A (en) * 1994-11-01 1998-08-11 Lund; Douglas E. System and method of automatically polishing semiconductor wafers
US5800248A (en) * 1996-04-26 1998-09-01 Ontrak Systems Inc. Control of chemical-mechanical polishing rate across a substrate surface
US5722877A (en) * 1996-10-11 1998-03-03 Lam Research Corporation Technique for improving within-wafer non-uniformity of material removal for performing CMP
US5928062A (en) * 1997-04-30 1999-07-27 International Business Machines Corporation Vertical polishing device and method
US5897425A (en) * 1997-04-30 1999-04-27 International Business Machines Corporation Vertical polishing tool and method

Also Published As

Publication number Publication date
TW393375B (en) 2000-06-11
US6146249A (en) 2000-11-14
EP0860239A2 (en) 1998-08-26
KR100488301B1 (ko) 2005-09-14
DE69823407D1 (de) 2004-06-03
CN1083754C (zh) 2002-05-01
EP0860239B1 (en) 2004-04-28
US6059643A (en) 2000-05-09
EP0860239A3 (en) 2000-04-05
DE69823407T2 (de) 2005-08-04
KR19980071770A (ko) 1998-10-26
CN1195595A (zh) 1998-10-14
JPH10309662A (ja) 1998-11-24

Similar Documents

Publication Publication Date Title
JP3911082B2 (ja) ベルト式研磨パッドを用いた平坦面を有する物体の研磨装置及び研磨方法
US6263605B1 (en) Pad conditioner coupling and end effector for a chemical mechanical planarization system and method therefor
US6402588B1 (en) Polishing apparatus
US8287333B2 (en) Single type substrate treating apparatus and method
KR100315722B1 (ko) 기판표면을평탄화하기위한연마기
EP0362516A2 (en) System for mechanical planarization
EP0807492B1 (en) Method for polishing workpieces and apparatus therefor
JPH09225811A (ja) ケミカルメカニカルポリシングシステムのリニアコンディショナー装置
JP2004517479A (ja) 表面積を減じた研磨パッドと可変式部分的パッド−ウェーハ・オーバラップ技法を用いて半導体ウェーハを研磨し平坦化するためのシステム及び方法
US5980366A (en) Methods and apparatus for polishing using an improved plate stabilizer
US6855030B2 (en) Modular method for chemical mechanical planarization
US6572462B1 (en) Carrier assembly for chemical mechanical planarization systems and method
KR102142827B1 (ko) 반도체 기판 가공장치
US7097545B2 (en) Polishing pad conditioner and chemical mechanical polishing apparatus having the same
KR100522888B1 (ko) 폴리싱 장치 및 폴리싱 방법
US6796885B2 (en) Pad conditioner coupling and end effector for a chemical mechanical planarization system and method therfor
CN114952594A (zh) 抛光系统及用于工件的化学机械抛光的方法
JPH11207594A (ja) モジュール式ウエハ研磨装置及びウエハ研磨方法
JP5675626B2 (ja) 研磨パッド端部の延伸
KR100219499B1 (ko) 씨.엠.피(cmp) 장치 및 그를 이용한 평탄화 방법
KR100504116B1 (ko) 집적회로 제조를 위한 화학적 기계적 연마 장치
US6350188B1 (en) Drive system for a carrier head support structure
JP2000288908A (ja) 研磨装置及び研磨方法
KR100494145B1 (ko) 화학기계적 연마장치 및 그를 이용한 웨이퍼 연마방법
KR20060030257A (ko) 반도체 소자 제조에 사용되는 화학적 기계적 연마 장치

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040706

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20041005

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20041013

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050831

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050914

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061017

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070126

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041224

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051116

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061020

LAPS Cancellation because of no payment of annual fees