JPH10275848A - デュアル・ブレード・ロボット - Google Patents

デュアル・ブレード・ロボット

Info

Publication number
JPH10275848A
JPH10275848A JP9315474A JP31547497A JPH10275848A JP H10275848 A JPH10275848 A JP H10275848A JP 9315474 A JP9315474 A JP 9315474A JP 31547497 A JP31547497 A JP 31547497A JP H10275848 A JPH10275848 A JP H10275848A
Authority
JP
Japan
Prior art keywords
chamber
wafer
processing
gas
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9315474A
Other languages
English (en)
Inventor
Kevin Fairbairn
フェアベアン ケヴィン
Lane Christopher
レーン クリストファー
Sunder Satish
スンダー サティシュ
Corborn Kerry
コルボーン ケリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10275848A publication Critical patent/JPH10275848A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm
    • Y10T74/20317Robotic arm including electric motor

Abstract

(57)【要約】 【課題】 真空処理システムを通じて1以上のウェハを
同時移動するためのウェハ・ハンドリング部材と方法を
提供する。 【解決手段】 真空処理システム内で2つ以上のウェハ
を同時に移動するマルチブレード・ウェハ・ハンドリン
グ装置を提供する。このウェハ・ハンドリング装置は搬
送室に磁気的に結合された2つのモータを含み、デュア
ル・ブレード・アセンブリに接続されたアーム・アセン
ブリを移動させる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、集積回路の製造におい
て多数のウェハを同時処理する方法、およびそのための
システムおよび個々のシステム構成部品を含む装置に関
する。さらに詳しくは、本発明は、1つ以上のユーティ
リティ、1つ以上のロードロック室、およびロードロッ
ク室と処理室の両方に接続された搬送室を共用する1つ
以上の処理室を有する多段型真空システム(staged vac
uum system)に関する。
【0002】
【従来の技術】「クラスタ・ツール」という用語は一般
に、中央ウェハ・ハンドリング・モジュールおよび多数
の周辺処理室を備えたモジュール式マルチチャンバ総合
処理システムを指す。クラスタ・ツールは、高度な超小
型電子デバイスを製造するための効果的で効率的な装置
として、一般的に受け入れられるようになってきた。ウ
ェハをクラスタ・ツールに導入すると、様々な処理室で
ウェハに一連の処理段階が順次行なわれ、集積回路が形
成される。処理室間のウェハの移送は一般的に、中央搬
送領域に位置するウェハ・ハンドリング・モジュールに
よって制御される。一般的にクラスタ・ツールは、枚葉
式ウェハ処理型(single wafer processing)とバッチ式
ウェハ処理型の2種類がある。枚葉式ウェハ処理型とは
一般に、単一のウェハが処理にために配置されるチャン
バ構成を指す。バッチ式ウェハ処理型とは一般に、複数
のウェハを回転台上に配置し、回転台を360°回転し
ながら、これらのウェハをチャンバ内の様々な位置で処
理するチャンバ構成を指す。バッチ処理用に構成された
クラスタ・ツールは、単一チャンバで複数のウェハ、一
般的には4枚ないし7枚のウェハを、同時に処理するこ
とができる。
【0003】図1および図2は、市販されているバッチ
処理システム10の例を示す。図1は、ノベラス社(No
vellus Corporation)から入手できるバッチ処理型放射
状クラスタ・ツールの略平面図である。このクラスタ・
ツールは、処理のためにウェハを各々6枚づつ保持でき
る2つのバッチ処理室12、13を含む。搬送室18に
配置された枚葉式ウェハ・ハンドリング・ロボット16
を用いて、ウェハはロードロック室20から第1バッチ
処理室12に1枚づつ移送され、第1バッチ処理室でウ
ェハは順次回転台22上に受容された後、同一の処理段
階を受ける。次いでウェハは1枚づつ第2バッチ処理室
13に移送され、そこで追加の処理段階を受ける。一般
的に、ウェハは一度に1枚づつシステムに装填され、チ
ャンバに移送され、そこでウェハは、回転台上で360
°回転しながら様々な位置で部分処理を受ける。
【0004】図2Aおよび図2Bは、マットソン・テク
ノロジー社(Mattson Technology)から入手できるバッ
チ処理用クラスタ・ツール10の略平面図および略側面
図である。ロードロック室20および搬送室18は、搬
送室内でウェハをステージに移載できる共通のウェハ・
エレベータ19を有する。搬送ロボット16は、4枚ま
でのウェハを保持する化学気相成長(CVD)室などの
処理室にウェハを搬送する。次いでウェハはウェハ・エ
レベータに戻され、最終的にツールから引出される。
【0005】上述のクラスタ・ツールで実行される処理
をはじめとするバッチ処理の1つの欠点は、バッチ処理
では、ウェハの中心部からウェハの周縁部までの堆積均
一性が、しばしば低くなることである。ウェハの堆積の
均一性を得るためには、処理の均一性が重要である。バ
ッチ処理システムの均一性の低さは、複数のウェハが単
一の処理室内の複数のステーションで部分処理されるこ
とが、直接の原因である。
【0006】処理の均一性を改善する1つの代替的方法
は、枚葉式ウェハ処理室を使用することである。枚葉式
ウェハ処理方式では、単一のウェハが処理室に配置さ
れ、そこでウェハは別の位置に移動する必要が無く、堆
積段階やエッチング段階などの処理段階が完全にウェハ
に実施されるので、処理の均一性に対する高度な管理が
実現されると考えられる。さらに、枚葉式ウェハ処理室
の構成部品は同心的に、またはその他の方法で単一のウ
ェハと相対的に配置することができる。
【0007】図3は、複数の枚葉式ウェハ処理室12を
装備したクラスタ・ツール10の略平面図を示す。図3
に示すのと同様のクラスタ・ツールは、カリフォルニア
州サンタクララのアプライド・マテリアルズ社(Applie
d Materials, Inc. )から入手することができる。この
ツールはロードロック室20および搬送室18を備えて
おり、搬送室18は、ウェハをシステム内の1つの位置
から別の位置に、特に複数の枚葉式ウェハ処理室12間
で移動するウェハ・ハンドリング・モジュール16を含
む。この特定のツールは、搬送室を中心に放射状に配置
された枚葉式ウェハ処理室12を4つまで収容する状態
を示している。
【0008】
【発明が解決しようとする課題】均一なウェハ処理およ
び高度のスループットを達成する真空処理システムの必
要性がある。さらに詳しくは、枚葉式ウェハ構造をバッ
チ式ウェハ・ハンドリング技術と統合するために、協力
的に作動する総合システムと処理室の必要性がある。フ
ットプリント(footprint)/フェイスプリント(faceprin
t)が小さく、必要な資本投資や運転費が一般的なクラス
タ・ツールより低いシステムを実現することが望まし
い。
【0009】
【課題を解決するための手段】本発明は、真空処理シス
テムを通じて1以上のウェハを同時移動するためのウェ
ハ・ハンドリング部材と方法を提供する。本発明は一般
的に、直接的カップリングのようなカップリングの他の
部材がウェハ・ブレード・アッセンブリに結合された一
対のアーム(arm)・アッセンブリに線状運動および回転
運動を伝えるために使用されるが、移送室中で連結され
た、好ましくは磁気カップリングの使用を通して連結さ
れた、2つのモータを有するロボットを含む。ウェハ・
ブレード・アッセンブリは好ましくは、並んだ配列に配
置された少なくとも2つのウェハ・ブレードを有し、真
空システムを通って2以上のウェハを同時に移送する。
各アーム・アッセンブリは、好ましくは一対のかい(str
ut)からなり、一緒に蛙の足型ロボットを形成する。
【0010】
【実施の形態】本発明は一般に、複数のウェハを同時に
処理し、枚葉式ウェハ処理室の利点とマルチウェハ・ハ
ンドリングの利点とを結合して高品質ウェハ処理、ウェ
ハ・スループットの向上、およびシステムのフットプリ
ントの縮小化を達成する、カセット・ツー・カセット方
式の真空処理システムを提供する。本発明の1態様によ
るシステムは、処理後のウェハの冷却機能を兼ね備えた
ウェハをシステムに導入するためのロードロック室と、
ウェハ・ハンドラを収容するための搬送室と、共通のガ
ス供給源および共通の排気ポンプを共用することが好ま
しく相互に分離可能な2つ以上の処理領域を各々有する
1つ以上の処理室とを一般に含む多段型真空システムで
あることが望ましい。分離可能とは、処理領域が隣接領
域から分離された閉込めプラズマ・ゾーンを有し、それ
が排気システムを介して隣接領域と選択的に連絡可能で
あるという意味である。各処理室内の処理領域は、各処
理領域におけるウェハ表面全体のプラズマ密度を均一に
するために、別個のガス分配アセンブリおよびRF電源
装置を装備することが望ましい。処理室は、少なくとも
2つの領域で複数の分離されたプロセスを同時に実行で
きるように構成し、共有ガス源、共有排気システム、別
個のガス分配アセンブリ、別個のRF電源装置、および
別個の温度制御システムによって達成される高度のプロ
セス制御により、別個の処理領域で少なくとも2枚のウ
ェハを同時に処理できるようにする。説明を簡単にする
ために、処理室内の処理領域という用語は、プラズマ処
理が実行されるゾーンを示すために使用する。
【0011】図4ないし図7は、本発明の処理システム
100を概略的に示す。システム100は、簡単に据え
付けることができ運転を高速開始するメインフレーム構
造101に支持され、必要な処理用ユーティリティを装
備した自立システムである。システム100は一般に、
4種類の領域を含む。すなわち、ウェハ・カセット10
9(図8参照)を支持し、ウェハのロードロック室11
2への送込みおよびロードロック室からの取出しを行な
う前置ステージング領域102と、ウェハ・ハンドラを
収容する搬送室104と、搬送室104に取り付けられ
た一連のタンデム型処理室106と、システム100の
動作に必要なガス・パネル103、配電盤105、およ
び発電機107など、システム100の動作に必要な支
持ユーティリティを収容する後部108の4種類であ
る。システムは、CVD、PVDおよびエッチングなど
様々なプロセスおよび支持チャンバ・ハードウェアを受
け入れるように適応させることができる。以下で説明す
る実施例は、シラン処理などのDCVDプロセスを用い
てけい素酸化物を堆積するシステムを対象とする。しか
し、これらの他のプロセスも本発明によって意図されて
いることを理解されたい。
【0012】前置ステージング領域 図8は、1つ以上の処理用ウェハ・カセット109を支
持するためにプラットフォーム110に回転可能に取り
付けられた1つ以上のウェハ・カセット回転台111を
有するステージング・プラットフォームを含む、システ
ム100の前置ステージング領域102を示す。ウェハ
・カセット109に収容されたウェハは、正面カバー1
39に配置された1つ以上の扉137(どちらも図6参
照)からシステム100内に取り入れられる。ロボット
等の前置ウェハ・ハンドラ113は、ウェハ・カセット
回転台111およびロードロック室の扉209(図11
参照)に隣接したステージング・プラットフォーム11
0上に設置する。前置ウェハ・ハンドラ113は、ロー
ドロック室112内に配置されたロードロック・カセッ
ト内にウェハを装填する準備として、各ウェハ・カセッ
ト109内のウェハのインデクシングを行なうウェハ・
マッピング・システムを含むことが望ましい。ウェハ・
マッピング・システムを含む本発明のシステムで効果的
に使用できる1つのウェハ・ハンドラとして、カリフォ
ルニア州サニーベールのイクイップ・テクノロジーズ社
(Equippe Technologies)の型式番号ATM107また
は105がある。ウェハ・マッピング・センサは、ウェ
ハを処理するためにロードロック室112に配置する前
に、カセット109内のウェハの数およびウェハの配向
を検証する。前置システム領域の微粒子制御のために、
ニューメキシコ州アルバカーキにあるエンバイロコ社
(Enviroco Corporation)、カリフォルニア州サンラフ
ィアルにあるフランダース社(Flanders)、またはカリ
フォルニア州サンタアナにあるフィルトラ社(Filtra)
から入手可能なULPAフィルタ等の排気システムを、
プラットフォーム110の上部の支持棚115の底部に
取り付ける。また、支持棚115の上部のモニタ棚11
9上に、オペレータがタッチ・コントロールできるコン
ピュータ・モニタ117を支持する。
【0013】ロードロック室 図9は、本発明のロードロック室112の1実施例の実
質的側面斜視図である。ロードロック室112は側壁2
02、底部204、および蓋206を含む。側壁202
は、ウェハを真空システム100に取り入れたり真空シ
ステムから取り出すためのロードロック装填口208を
画成する。側壁202の装填口208の反対側に、ウェ
ハをロードロック室112から搬送室104(図示せ
ず)に移送させるための通路210、212を配置す
る。隔離または多段真空を所望する場合は、スリット弁
およびスリット弁アクチュエータを使用して通路21
0、212を密閉する。ロードロック室112の保守作
業や外観検査を行なうための保守作業口214および保
守作業用扉または窓216をロードロック室112の一
方の端部に配置する。
【0014】ウェハ・ハンドラがウェハ間を通過して、
ウェハをロードロック・カセット218に取り込んだり
取り出すことができるように、ロードロック室112内
で間隔をおいた関係にウェハを支持するロードロック・
カセット218を、ロードロック室112内に配置す
る。ロードロック・カセット218は、ウェハ座面22
0上に横並びに2つ以上のウェハを支持することが望ま
しい。ウェハ座面220は、可動軸224上に間隔をお
いた関係に支持されたカセット・プレート222上に形
成する。プレート222は陽極酸化アルミニウムで形成
し、垂直方向に約0.6インチづつ間隔をおいて配置し
たウェハを約14枚まで取り扱えるようにすることが望
ましい。図9に示す実施例では、6列のウェハ座面22
0を設け、合計12枚のウェハを支持する。
【0015】各ウェハ座面220は少なくとも2つの溝
226を画成し、そこに支持レール228を配置し、ウ
ェハ座面220の上部にウェハを支持することにより、
ウェハの下部に冷却ガスの通路を設ける。好適な実施例
では、セラミックで形成した少なくとも2本のレール2
28を設けてウェハを支持するが、それ以上のレールを
使用することもできる。ウェハは、セラミック・レール
228によりウェハ座面220より約1ないし15mils
上に支持され、ウェハの均等な冷却が達成される。
【0016】ロードロック室112の底面204を貫通
するように配置した軸224は、ロードロック室112
内のカセット・プレート222を支持する。ロードロッ
ク室112の底面204の下に配置したステップ・モー
タやその他のエレベータ・システム等のモータは、軸2
24をロードロック室112内で上下方向に動かすこと
により、1対のウェハを、ロードロック室112に取り
込んだりそこから取り出すために、ウェハ・ハンドラの
位置と整列させる。
【0017】図10は、正面部を取り外した状態のロー
ドロック室112の側面図である。カセット・プレート
222は、プレート222を支持する軸が伸長する中心
部分230を含む。カセット・プレート222の外縁部
は、ピン234でプレートに固定されたスペーサ232
によって間隔をおいた関係に支持する。各プレート22
2に中央溝236を設け、ウェハが座面220に支持さ
れているときに、ロボット・ブレードがウェハの下を通
過するためのスロットを形成する。
【0018】図11は、ロードロック室112の正面斜
視図である。ウェハ装填用扉209および扉アクチュエ
ータ238は、閉じた密閉された位置で示されている。
ウェハ装填用扉209は、可動軸240上の扉アクチュ
エータ238に接続されている。扉209を開ける場
合、アクチュエータ238が傾斜して側壁202から離
れ、扉209の密閉が解除され、次いで軸240が下降
し、扉209に隙間ができ、装填口208(図9参照)
が開く。本発明で効果的に使用できる1つの扉用アクチ
ュエータは、スイスにあるVAT社から入手することが
できる。
【0019】フレーム101上のロードロック室112
および搬送室104の隣接位置に、ロードロック室およ
び搬送室を真空排気する内蔵真空ポンプ121を設置す
る。ロードロック室112の底面を通して排気口280
を配置し、排気管路704を介してポンプ121に接続
する。ポンプは、振動が非常に少なく、ミリトル(milli
Torr)圧力を達成できる高真空ターボ・ポンプが望まし
い。効果的に使用できる1つの真空ポンプは、エドワー
ド・ハイ・バキューム(Edward High Vacuum)社から入
手することができる。
【0020】搬送室104は、1対のスリット弁密閉通
路210、212を開けて、ロードロック室112に位
置する排気口280を介してガスを吸い出すことによ
り、ロードロック室112を介して真空排気することが
望ましい。ロードロック室112を介してシステムから
ガスを連続的に排出することにより、ガス結合微粒子
(gas-bound particle)は搬送室102内に掃引されな
い。さらに、大気圧までの通気を促進するために、ロー
ドロック室にガス・ディフューザ231を配置する。ガ
ス・ディフューザ231は、ロードロック室に配置さ
れ、N2パージ・ガス管路などのガス・パージ管路に接
続された導管を使用することが望ましい。ガス・ディフ
ューザ231は、ディフューザの長さに沿って配置され
た複数のポート233を介して、だんだん大きくなる表
面積に沿ってパージ・ガスを分配し、それによってロー
ドロック室を大気圧まで通気するのに必要な時間を短縮
する。本発明の真空システムについては、後で詳しく説
明する。
【0021】デュアル・ポジション・ロードロック室 図12は、本発明のロードロック室112の別の実施例
の断面斜視図である。ロードロック室112は室壁20
2、底面204、および蓋206を含む。ロードロック
室112は、2つの分離した環境あるいは仕切室24
2、244および搬送領域246を含む。仕切室24
2、244は、各仕切室にウェハ・カセットを含み、そ
の中にウェハを支持する。各仕切室242、244は、
仕切室242、244の底部と頂部を画成する支持プラ
ットフォーム248および頂部プラットフォーム250
を有する。プラットフォーム248、250を間隔をお
いた位置関係に支持するために、仕切室242、244
内に垂直に支持壁252を配置することができる。搬送
領域246は、ロードロック室112から搬送室104
(図示せず)へのアクセスのための1つ以上の通路19
2を含む。通路192は、スリット弁およびスリット弁
アクチュエータを使用して開閉することが望ましい。
【0022】仕切室242、244はそれぞれエレベー
タ・シャフト224に接続し、ロードロック室内で仕切
室を上下方向に移動させるために、各仕切室はそれぞれ
ステップ・モータまたは類似物などのモータに接続す
る。仕切室242の支持プラットフォーム248のため
の密閉表面を設けるために、ロードロック室112内の
周縁部に密閉フランジ256を配置する。仕切室244
の支持プラットフォーム250のための密閉表面を設け
るために、密閉フランジ258を同様に配置する。仕切
室242、244は密閉フランジ256、258によっ
て相互に隔離し、ロードロック室112内に独立した多
段真空の仕切室を242、244を設ける。
【0023】空間260、262には、そこに配置され
た真空口により、後部圧力が維持される。プラットフォ
ーム248、250が密閉フランジ256、258で密
閉されるのを補助するために、空間260、262を高
真空状態にすることができるように、排気管路264を
介して空間260、262に真空ポンプを接続する。動
作中、仕切室242、244は、図12に示す位置で装
填したり排出することができる。上述のような装填用扉
209およびアクチュエータ238(図11参照)を、
仕切室242、244に対応するロードロック室112
の上限および下限位置の正面壁(図示せず)に設ける。
選択された仕切室の圧力は、ウェハを仕切室に装填した
後で、排気管路287、289を介して減圧し、選択仕
切室を搬送領域246に移動する。仕切室242、24
4は、ステップ・モータにより個々に独立して搬送室2
46に移動する。上下仕切室242、244を設ける利
点は、1組のウェハの処理を行なう間に、第2組のウェ
ハを他の仕切室に装填することができること、および仕
切室を搬送領域246に移動して搬送室104と連絡す
ることができるように、仕切室を適切な圧力まで減圧す
ることができることである。
【0024】ウェハ中心位置決め 図8は、ウェハをウェハ・カセット109からロードロ
ック室112へ搬送したり、ロードロック室112から
搬出するためのウェハ搬送ブレードを含むシステム10
0の前部102に位置するウェハ・ハンドリング・ロボ
ット113を示す。ウェハは必ずしも常に各ウェハ・カ
セット109内の厳密に同じ位置にあるわけではなく、
したがって、ロードロック・カセット218内に搬送さ
れるときに、常にブレード上に同じように配置されるわ
けではない。したがって、ウェハがロードロック・カセ
ットに装填される前に、ロボット・ブレード上のウェハ
の正確な位置を決定し、制御コンピュータに送信しなけ
ればならない。ウェハの正確な中心位置を知ることによ
り、コンピュータはブレード上の各ウェハの位置の変動
を調整し、ロードロック・カセット218における所望
の位置に正確にウェハを配置することができるので、搬
送室のウェハ・ハンドラは、最終的に、処理室106内
でウェハを正確に配置することができる。
【0025】ウェハ位置データ(ウェハの中心座標が望
ましい)を提供し、ロボットがロードロック・カセット
218内でウェハを正確に配置することを可能にする光
感知システム170を、前部102の各カセット回転台
111に隣接する位置に設ける。各システムは、ロボッ
ト・ブレードの経路に対し垂直な線に沿ってカセット回
転台111に隣接するC字形クランプ174の下部支持
体173に取り付けた3つの光センサ172、およびC
字形クランプ174の上部支持体177に、対応するセ
ンサの位置に合わせて配置した3つの光エミッタ176
から成り、センサが対応する光エミッタからの光線を捕
らえるようにする。一般的に、各対は従来の赤外エミッ
タおよび赤外センサで構成される。
【0026】センサの出力は、対応するアナログ・デジ
タル変換器でデジタル信号に変換して、システム・コン
ピュータに入力し、ウェハがロードロック室112に送
り込まれるときにウェハの中心座標を計算したり、ロボ
ット113が各ウェハをロードロック・カセット218
内に正確に配置できるように、必要に応じてロボット駆
動モータの動作を制御するのに利用する。感知およびモ
ータ制御回路機構の詳細は、チェン(Cheng )らの米国
特許第4,819,167号にさらに詳しく記述されて
おり、これを参照によってここに組み込む。
【0027】搬送室 図13は、本発明の処理システム100の平面図であ
る。搬送室本体は側壁302および底面304を含み、
アルミニウムなどの1枚の材料から機械加工またはその
他の方法で作成することが望ましい。動作中は、蓋(図
示せず)を側壁302で支持し、真空エンクロージャを
形成する。搬送室104の側壁302は、処理室106
およびロードロック室112を支持する。側壁302は
両側にそれぞれ少なくとも2つの通路310´を定義
し、そこからシステム内の他のチャンバにアクセスす
る。各々の処理室106およびロードロック室112
は、1つ以上のスリット弁開口部およびスリット弁を含
み、これにより処理室とロードロック室と搬送室との間
の連絡が可能になり、またこれらの各室内における環境
の真空隔離が達成され、システム内の多段真空が可能に
なる。搬送室104の底面304は中央通路306を画
成し、その中をロボット・アセンブリなどのウェハ・ハ
ンドラ500は伸長し、搬送室の底面に装着される。さ
らに底面304は、1つ以上のスリット弁アクチュエー
タが伸長して密閉可能に装着するための複数の通路30
8をも画成する。真空排気中にパージ・ガスを供給する
ガス・パージ口309も、搬送室104の底面304に
配置する。
【0028】図14は、搬送室の部分断面図である。側
壁302に配置された通路310は、2つの個別スリッ
ト弁またはタンデム・スリット弁アセンブリを用いて開
閉することができる。通路310を処理領域618、6
20(図15参照)のウェハ通路610と合致させ、ウ
ェハを処理室106の処理領域618、620内に送り
込み、ウェハ・ヒータ・ペデスタル628上に配置でき
るようにする。
【0029】スリット弁をスリット弁の制御方法は、テ
プマン(Tepman)らによる米国特許第5,226,63
2号およびロリマー(Lorimer )による米国特許第5,
363,872号に開示されており、両方とも参照によ
ってここに組み込む。
【0030】搬送室のウェハ・ハンドラ 図15は、本発明の磁気結合型ロボット500が、搬送
室104内で自由に回転するための引っ込んだ位置にあ
る状態を示す平面図である。ウェハを1つのチャンバか
ら他のチャンバに搬送するために、デュアル・ウェハ・
ハンドリング・ブレード520、522を有するロボッ
トを、搬送室104内に配置する。改造して本発明に効
果的に使用できる「超高生産性」(VHP)型ロボット
は、「2軸磁気結合型ロボット(Two-axis Magneticall
y Coupled Robot )」と称する1995年11月21日
発行の米国特許第5,469,035号の主題であり、
これを参照によってここに組み込む。磁気結合ロボット
500は、2つの真空ハブ(hub)(磁気クランプとい
う)とデュアル・ウェハ・ブレード520、522の間
に結合された蛙の足型アッセンブリを含み、固定された
平面内でロボット・ブレードの放射方向と回転方向の運
動の両方を提供する。システム100内の1つの場所か
ら別の場所へ、例えば1つの処理室106から別の処理
室へ、ウェハを拾い上げて搬送し、送り込むために、放
射方向の運動および回転運動を統合または結合すること
ができる。
【0031】ロボットは、第1磁石クランプ524の位
置525にしっかりと取り付けられた第1筋かい(stru
t)504、および(第1磁石クランプ524の下に同軸
的に配置した)第2磁石クランプ526の位置527に
しっかりと取り付けられた第2筋かい506を含む(図
17も参照されたい)。第3筋かい508を旋回支軸5
10によって筋かい504に取り付け、旋回支軸518
によってウェハ・ブレード・アセンブリ540に取り付
ける。第4筋かい514を旋回支軸516によって筋か
い506に取り付け、旋回支軸512によってウェハ・
ブレード・アセンブリ540に取り付ける。筋かい50
4、508、506、514および旋回支軸510、5
12、516、518の構造は、ウェハ・ブレード・ア
センブリ540と磁石クランプ524、526との間の
「蛙の足」型接続を形成する。
【0032】磁石クランプ524、526が同一角速度
で同一方向に回転すると、ロボット500も軸Aを中心
に同一速度でこの同一方向に回転する。磁石クランプ5
24、526が同一絶対角速度で反対方向に回転する
と、アセンブリ500の回転は発生しないが、その代わ
りにウェハ・ブレード・アセンブリ540が、図16に
示す位置まで放射方向の直線運動を行なう。
【0033】ウェハ・ブレード・アセンブリ540に載
置された2枚のウェハ502が図示されており、個々の
ウェハ・ブレード520、522が搬送室104の側壁
302の個々のウェハ通路310内を伸長し、ウェハを
処理室106の処理領域618、620に送り込んだ
り、そこから取り出すことができることを示す。磁気結
合型ロボット500は、2つのモータの相対速度に対応
する磁石クランプ524、526の相対的回転運動によ
って制御される。第1動作モードでは、両方のモータが
磁石クランプ524、526を同一速度で同一方向に回
転させる。このモードは磁石クランプの相対運動を生じ
ないので、ロボットは単位中心軸Aを中心に、一般的
に、1対の処理領域618、620とのウェハ交換に適
した位置から別の対の処理領域とのウェハ交換に適した
位置まで回転する。さらに、完全に引っ込んだロボット
が中心軸Aを中心に回転するとき、ウェハの縁に沿った
最外部のラジアル・ポイント548が、ロボットを回転
するために必要な最小円形領域550を定義する。磁気
結合型ロボットには第2動作モードもあり、このモード
では、両方のモータが磁石クランプ524、526を同
一速度で反対方向に回転させる。この第2モードは、ウ
ェハ・ブレード・アセンブリ540のウェハ・ブレード
520、522を通路310を通して処理領域618、
620内へ伸長するため、または逆にそこからブレード
を引っ込めるために使用する。モータの回転の他の組み
合わせを用いて、ロボット500が軸Aを中心に回転す
るときに、ウェハ・ブレード・アセンブリ540の同時
伸長または同時引込みを行なうこともできる。
【0034】ウェハ・ブレード・アセンブリ540のウ
ェハ・ブレード520、522を回転軸Aから半径方向
に離れさせておくために、旋回支軸またはカム512と
518の間に連動機構を使用して、各旋回支軸の反対方
向の均等な角回転を確実にする。連動機構は、かみ合わ
せ歯車や、8の字形または同等のパターンで旋回支軸に
掛けられた帯(ストラップ)をはじめ、多くの設計を採
用することができる。1つの好適な連動機構は、ウェハ
・ブレード・アセンブリ540の旋回支軸512、51
8に結合され、その間に伸長する1対の帯金542、5
44である。帯542、544は協動して、旋回支軸5
12、518の周囲に8の字形のパターンを形成する。
しかし、帯542、544は、個別に調整可能であって
相互に上下に配置することが望ましい。例えば、第1帯
542の第1端は旋回支軸512の後側を通り、そこに
固定的に結合する一方、第2端は旋回支軸518の前側
を通り、そこに調整可能に結合する。同様に、第2帯5
44の第1端は旋回支軸518の後側を通り、そこに固
定的に結合する一方、第2端は旋回支軸512の前側を
通り、そこに調整可能に結合する。帯と旋回支軸51
2、518の前側との間の調整可能な結合には、帯に厳
密な張力を掛けるばねを設けることが望ましい。いった
ん張力がかかると、帯の端部はねじまたはその他の締め
金具により、適切な位置にしっかりと保持される。図1
5および図16には、帯がU字形のデュアル・ブレード
の基部で、ロッド546にも掛けられている状態が示さ
れている。
【0035】図16は、図15のロボット・アームおよ
びブレード・アセンブリが伸長した位置にある状態を示
す。この伸長は、磁石クランプ526を時計方向に、磁
石クランプ524を反時計方向に、同時に均等な速度で
回転することによって達成される。ウェハ・ブレード・
アセンブリ540の個々のブレード520、522は、
通路310を通って伸長し、ペデスタル628(図19
参照)上でウェハ502をセンタリングするのに充分な
長さである。ウェハ502が1対のリフト・ピン・アセ
ンブリによってブレードから持ち上げられた後、ブレー
ドは引っ込められ、通路が上述の通り、スリット弁およ
びアクチュエータによって閉じられる。
【0036】図17は、搬送室104の底面304の中
央開口部306に取り付けられたロボット駆動システム
の断面図である。磁気結合型アセンブリは、磁気保持リ
ング524、526が中心軸Aを中心に回転するように
構成し、それによってウェハ・ブレード・アセンブリ5
40のシステム内における回転運動および直線運動の両
方を起動させる駆動機構を設ける。さらに、磁気結合型
アセンブリは、搬送室104内における可動部の接触を
最小限に止めながら、磁気保持リング524526の回
転運動を達成し、微粒子の発生を最小限に抑制する。こ
の実施例では、ロボットの機能は、搬送室104の上部
または下部、望ましくは下部に配置されたハウジング内
に第1および第2ステップ・モータまたはサーボ・モー
タを設置し、モータの出力を、薄壁560の内部にまた
はそれに隣接して配置した磁気リング・アセンブリに結
合することによって達成される。薄壁560は、搬送室
の内部を搬送室外の環境から密閉するために、搬送室1
04の上部壁または下部壁の接続部に接続する。磁気保
持リング524、526は、搬送室104の真空側の薄
壁560に隣接する位置にそれを取り巻くように配置す
る。
【0037】第1モータの出力562は第1軸572お
よびかみ合わせ歯車580を駆動し、第1磁気保持リン
グ524に磁気的に結合された第1磁石リング・アセン
ブリ582を回転させる。第2モータの出力564は第
2軸586およびかみ合わせ歯車590を駆動し、第2
磁気保持リング526に磁気的に結合された第2磁石リ
ング・アセンブリ592(アセンブリ582の周囲に配
置された同軸円筒形部材)を回転させる。各モータの回
転により、薄壁560を介して回転出力を磁気保持リン
グ524、526に磁気的に結合する磁石リング・アセ
ンブリ582、592を回転させる回転出力562、5
64が得られ、それによって筋かい504、506がそ
れぞれ回転し、ウェハ・ブレード・アセンブリ540の
回転運動および平行移動運動が生じる。
【0038】各磁気リング・アセンブリをそれぞれの磁
気保持リングに結合するために、各磁気リング・アセン
ブリ582、592および磁気保持リング524、52
6は、壁560を介して相互に対をなす同等の複数の磁
石を含むことが望ましい。磁気結合効果を高めるため
に、磁石はその磁極を垂直方向に整列し、磁極片が結合
される隣接磁石に向かって伸長するように配置すること
ができる。結合された磁石は磁気により急激に回転(fl
ip)するので、薄壁部分のどちらか一方の側に配置され
た各対の磁極片に北極と南極の結合が発生する。磁気的
結合が望ましいが、モータと保持リングの直接結合を使
用することもできる。
【0039】ロボットの最適経路軌跡 ウェハを搬送する間のロボット500の運動は第一に、
ウェハとウェハを掴むデュアル・ウェハ・ブレード52
0、522との間の摩擦に対する依存性によって制限さ
れる。ウェハのミスアラインメント(位置ずれ)を避け
るために、各ウェハ・ブレード520、522の直線運
動および回転運動は両方とも制御しなければならない。
ロボットの運動は、ウェハのミスアラインメントを防止
しながら生産性を向上するために、最小限のウェハ移送
時間を達成するように最適化することが望ましい。
【0040】ロボットの運動の最適化は、任意のロボッ
ト構成における2つ以上の位置間の最短時間経路を発見
するための数学的方法を提示した、Z. Shiller and S.
Dubowsky, "Time Optimal Path Planning for Robotic
Manipulators with Obstacles, Actuator, Gripper and
Payload Constraints", International Journal ofRob
otics Research, pp. 3-18, 1989 およびZ. Shiller an
d H. H. Lu, "Comparison of Time-Optimal Motions Al
ong Specified Path", ASME Journal of Dynamic Syste
ms, Measurements and Control, 1991 などの出版物に
記述されている。この方法は一般に、特定の経路の数学
的近似化、最適速度分布の計算、および経路パラメータ
を変化させることによる最適経路の計算を含み、既知の
制約範囲内でロボットが指定経路に従うために必要な最
短時間を決定する。
【0041】ロボットの運動の最適化の数学的解法は、
複数の代数方程式および非線形微分方程式または非線形
行列微分方程式の解を含み、コンピュータを利用して解
くことが望ましい。しかし、最適化法の熟練者は、行列
または方程式を解かなくてもり最適な経路をしばしば明
らかにすることができる。
【0042】上述のロボット500を使用するウェハの
動きの最適化により、本発明の処理システムの生産性を
著しく向上すると予想される幾つかの最短時間経路の定
義が得られた。その最短時間経路を図26ないし図29
に示す。図26は、処理プラットフォームに180°離
して配置された処理室間をウェハが移動する場合の最適
経路1500、1502、1504を示し、図27は、
デュアル・ウェハ・ブレード520、522上のウェハ
が取る経路1502と1504の間の中間の経路150
0の最適速度分布を示す。図28は、処理プラットフォ
ーム上に90°離して配置された処理室間をウェハが移
動する場合の最適経路1510、1512、1514を
示し、図29はデュアル・ウェハ・ブレード520、5
22上のウェハが取る経路1512と1514の間の中
間の経路1510の最適速度分布を示す。
【0043】図27および図29はまた、ウェハがデュ
アル・ウェハ・ブレード520、522に載置されてい
ないときに、経路1500、1510に沿ってロボット
500が達成できる最大速度をも示す。ロボット500
は、搬送室104を介してウェハを移送するときに、デ
ュアル・ウェハ・ブレード520、522が図26ない
し図29に示す最適速度分布を用いて最適経路に従うよ
うに制御することが望ましい。
【0044】処理室 図18は、本発明のタンデム処理室106の1実施例の
斜視図である。処理室本体602は搬送室104に取り
付けるかその他の方法で接続され、2つの処理領域を備
えており、そこで個々のウェハが同時に処理される。処
理室本体602は、本体602にヒンジで取り付けられ
た蓋604を支持し、反応ガスおよびクリーニング・ガ
スを複数の処理領域に送り込むために、蓋を貫通して配
置された1つ以上のガス分配システムを含む。
【0045】図19は、2つの処理領域618、620
を定義する処理室106の略断面図である。処理室本体
602は、側壁612、内壁614、および2つの処理
領域618、620を定義する底壁616を含む。底壁
616は各処理領域618、620に少なくとも2つの
通路622、624を画成し、これらの通路内にペデス
タル・ヒータ628の心棒(ステム)626およびウェ
ハ・リフト・ピン・アセンブリのロッド630をそれぞ
れ配置する。ペデスタル・リフト・アセンブリおよびウ
ェハ・リフトについては、後で詳述する。
【0046】側壁612および内壁614は、2つの円
筒形環状処理領域618、620を画成する。処理領域
618、620からガスを排出し、各領域618、62
0内の圧力を制御するために、円筒形処理領域618、
620を画成する処理室の壁に円周方向の真空排気路
(pumping channel)625を形成する。各処理領域の
横方向の境界を画成し、処理室の壁612、614を腐
食性処理環境から保護し、かつ電極間の電気的に絶縁さ
れたプラズマ環境を維持するために、望ましくはセラミ
ックまたは類似物で形成された処理室のライナまたはイ
ンサート627を各処理領域618、620に配置す
る。ライナ627は、各処理領域618、620の壁6
12、614に形成された処理室内の棚に支持する。ラ
イナは、そこを貫通して配置され、処理室の壁に形成さ
れた真空排気路625と連絡した複数の排気口631ま
たは円周方向のスロットを含む。各ライナ627に約1
5°の間隔で約24個の排気口631を、処理領域61
8、620の周囲に配置することが望ましい。24個の
排気口が望ましいが、所望の排気速度および均等性を達
成するために任意の数の排気口を使用することができ
る。処理中にウェハ上に最適ガス流パターンを得るため
に、排気口の数だけでなく、ガス分配システムの面板に
対する排気口の高さも制御する。
【0047】図21は、本発明の排気システムを示す処
理室の断面図である。各処理領域618、620の真空
排気路625は、共通の排気路619を介して共通の排
気ポンプに接続することが望ましい。排気路619は、
排気導管621により各領域618、620の真空排気
路625に接続する。排気路619は、排気管路(図示
せず)により排気ポンプに接続する。各領域はポンプで
選択した圧力まで真空排気し、接続された排気システム
は各領域内の圧力を均等化できることが望ましい。
【0048】再び図19に関連して、ガスを望ましくは
同一ガス源から処理領域618、620に分配するため
に、各々の処理領域618、620は、処理室の蓋60
4を貫通して配置されたガス分配アセンブリ608を含
むことが望ましい。各処理領域のガス分配システム60
8は、ガスをシャワー・ヘッド・アセンブリ642に送
り込むガス入口通路640を含む。シャワー・ヘッド・
アセンブリ642は、面板646との中間に配置された
ブロッカ・プレート644を有する環状台板から成る。
RFフィードスルーはシャワー・ヘッド・アセンブリに
バイアス電圧を供給し、シャワー・ヘッド・アセンブリ
の面板646とヒータ・ペデスタル628との間におけ
るプラズマの発生を促進する。各ガス分配システム60
8の台板648には、動作中に台板を冷却するための冷
却溝652を形成する。入口655は、水などのような
冷却流体を、冷却管路652により相互に接続された溝
652に送り込む。冷却流体は、冷却材出口659を介
して溝から排出される。または、代替的に、マニホルド
を介して冷却流体を循環させる。
【0049】処理室本体602は、選択されたプロセス
に適した各反応ガスおよびクリーニング・ガスをガス分
配システムを介して処理室内に送り込むための複数の垂
直ガス流路を画成する。処理室の壁に形成されたガス流
路をガス入口管路639に接続するために、ガス入口接
続部641を処理室106の底部に配置する。図21に
示す蓋を密閉接続するために、処理室の壁の上部表面に
形成された各ガス流路の周囲にOリングを設ける。蓋
は、処理室の壁の下部から、図20に示す処理室の蓋の
頂部に配置されたガス入口マニホルドに、ガスを送り込
むためのマッチング通路を含む。反応ガスは、電圧勾配
フィードスルー672を介して、ガス分配アセンブリに
接続されたガス出口マニホルド674に送り込まれる。
【0050】ガス入口マニホルド670は、処理室ガス
・フィードスルーから接地された定電圧勾配ガス・フィ
ードスルーにガスを運ぶ。ガス供給管(図示せず)は、
電圧勾配ガス・フィードスルー672を介して出口マニ
ホルド674へ処理ガスを通し、あるいは送り込む。フ
ィードスルー間に線形電圧降下をもたらすために、抵抗
性スリーブでガス供給管の周囲を取り囲み、処理室内の
プラズマがガス供給管路内を上昇するのを防止する。ガ
ス供給管は石英で形成することが望ましく、スリーブは
複合セラミックで形成することが望ましい。ガス供給管
は、温度を制御し、熱の放射を防止し、また処理ガスの
液化をも防止する冷却材溝が含まれる分離ブロック(is
olating block )内に配置する。分離ブロックはデルリ
ン(Delrin)で形成することが望ましい。石英供給管は、
処理ガスをブロッカ・プレート644およびガス分配板
647に通すガス出口マニホルド674へガスを送り込
む。
【0051】ガス入口マニホルド670(図20参照)
は、クリーニング・ガスを処理室ガス・フィードスルー
から遠隔プラズマ源まで送り込む通路をも定義する。こ
れらのガスは、電圧勾配フィードスルーを迂回し、遠隔
プラズマ源に送り込まれ、そこでガスは活性化されて様
々な励起核種を生じる。次いで励起核種は、ガス入口通
路640に配置された導管を通して、ブロッカ・プレー
トの真下の位置のガス分配板へ送り込まれる。遠隔プラ
ズマ源および反応性クリーニング・ガスの送込みについ
ては、後で詳述する。
【0052】各処理領域のガス分配システムにガスを供
給するガス管路639は、単一ガス源に接続することが
望ましく、したがって各処理領域618、620にガス
を送り出すためにガス管路639を共有するか、あるい
は共通制御することが望ましい。処理ガスをマルチゾー
ン処理室に供給するガス管路は、複数の処理領域に供給
するためにT形継手により分流する。各処理領域に供給
する個々の管路への流れを促進するために、PALL社
またはミリポア社から入手可能な焼結ニッケル・フィル
タなどのフィルタを、分流器の上流のガス管路に配置す
る。フィルタは、別個のガス供給管路へのガスの均等な
分配および流れを向上する。
【0053】ガス分配システムは台板から成り、台板の
下部表面に隣接して配置されたブロッカ・プレートを備
えている。ブロッカ・プレートの下には、ガスを処理領
域に送り込む面板を配置する。1つの実施例では、処理
ガスをブロッカ・プレートの真上の領域に送り込むため
に、台板はそれを貫通するガス流路を画成する。ブロッ
カ・プレートはその上部表面全体に処理ガスを分散し、
面板の上にガスを送り込む。ブロッカ・プレートの穴
は、処理ガスの混合および面板における分配を促進する
ような大きさおよび配置とすることができる。面板に送
り込まれたガスは次いで、処理領域内の処理のために配
置されたウェハ上に均等に送り込まれる。
【0054】ガス供給管はガス流路内に配置し、一端を
遠隔プラズマ源からの出口に接続する。ガス供給管の一
端は、ガス出口マニホルドを介して伸長し、遠隔プラズ
マ源からガスを送り込む。ガス供給管の他端はブロッカ
・プレートを貫通して配置され、ブロッカ・プレートを
超えて面板の真上の領域にガスを送り込む。面板は、ガ
ス供給管路を介して送り込まれたガスを分散し、次いで
ガスを処理領域に送り込む。
【0055】これは好適なガス分配システムであるが、
遠隔プラズマ源からのガスは、処理室の壁内に設けたポ
ートを介して処理領域に導入することもできる。さら
に、処理ガスは、現在入手可能なガス分配システム、例
えばカリフォルニア州サンタクララのアプライド・マテ
リアルズ社(Applied Materials )から入手可能なガス
分配システムなどを介して送り込むこともできる。
【0056】ヒータ・ペデスタル 図19は、支持板の下側に接続され、処理室本体の底面
を貫通して伸長し、そこで駆動システム603と接続さ
れた心棒626により、各処理領域618、620に可
動配置されたヒータ・ペデスタル628を示す。心棒6
26は、上端をペデスタル628の下側を支持接触する
ように配置し、下端をカバー・プレートで閉じた、円形
環状のアルミニウム部材とすることが望ましい。心棒の
下端は椀形スリーブで受容し、これは心棒と駆動システ
ムの接続部を形成する。心棒626はヒータ・ペデスタ
ルを機械的に処理領域内に配置し、また複数の加熱板接
続部がそこを通って伸長できる大気通路(ambient pass
ageway)をも形成する。各ヒータ・ペデスタル628
は、そこに配置されたウェハを所望の処理温度まで加熱
する発熱体を含むことができる。発熱体は、例えば抵抗
性発熱体を含むことができる。代替的に、ヒータ・ペデ
スタルは、ランプなどの外部発熱体によって加熱するこ
ともできる。本発明で効果的に利用できるペデスタル
は、カリフォルニア州サンタクララのアプライド・マテ
リアルズ社から入手することができる。ペデスタルは、
処理中にウェハをそこに固定するために、静電チャッ
ク、真空チャック、またはその他のチャック装置を支持
することもできる。
【0057】駆動システムは、カリフォルニア州ノバボ
にあるインダストリアル・デバイス社(Industrial Dev
ice Corporation )で製造された線形電動アクチュエー
タ(linear electric actuator)を含む。ヒータ・アセ
ンブリは、搬送ハウジングを処理位置、クリーニング位
置、リフト位置、および解放位置にまで上下移動させる
ことにより、上下に移動する。搬送ハウジングは、片側
をアクチュエータに接続し、反対側はキャリッジ・プレ
ートを介して線形スライドに接続する。アクチュエータ
とキャリッジの間の接続は、ミスアラインメントを許容
するために、たわみ(ボールおよびソケット)継手を介
して行なわれる。線形スライドおよびキャリッジ・プレ
ートは、その回転や曲げを防止するために、相互にバイ
アスする。ヒータの心棒の周囲をベローで囲み、ベロー
の一端を処理室の底面に接続し、他端を搬送ハウジング
に接続する。心棒の溝にはシール・リングを設け、スリ
ーブ内の心棒の下端の外部表面を密閉する。面板に対す
るヒータの高さ調整は、3つのねじを用いて行なう。
【0058】代替的に、処理室106の下に吊り下げら
れ駆動ベルトないし適合可能な継手および親ねじアセン
ブリに接続された、モータおよび減速歯車アセンブリを
含む駆動システム603を使用する。搬送ハウジングは
親ねじアセンブリ上に受容し、これは線形スライドによ
り上下に案内され、回転しないように保持される。ヒー
タ・リフト機構は、駆動継輪により処理室に対抗して保
持される。ヒータ・アセンブリは、ステップ・モータに
より駆動される親ねじによって上下移動する。ステップ
・モータは、モータ・ブラケットによってヒータ・リフ
ト・アセンブリに取り付ける。ステップ・モータはベロ
ー内で親ねじを駆動する。ベローは親ねじを回転して、
ヒータ・アセンブリを処理位置、リフト位置、および解
放位置にまで上昇または下降させる。心棒の溝にはシー
ル・リングを設け、スリーブ内の心棒の下端の外部表面
を密閉する。
【0059】ウェハ配置アセンブリ 心棒626が処理室内で上下に移動することにより、ヒ
ータ・ペデスタル628が移動し、処理のためにウェハ
がその上に載置されたり、そこからウェハが取り出され
る。ウェハ配置アセンブリは、ヒータ・ペデスタル62
8に対し垂直方向に移動し、ペデルタルを垂直方向に貫
通して配置された穴653に受容された複数の支持ピン
651を含む。各ピン651は、球状の下部661で終
端する円筒形の軸659、および軸の外方延長として形
成された円錐形の上部を切りつめた頭部663を含む。
ヒータ・ペデスタル628の穴653は、円錐形の頭部
663をその中に受容できる大きさの上部座ぐり部分を
含むので、ピン651がヒータ・ペデスタル628内に
完全に受容されたときに、頭部がヒータ・ペデスタルの
表面から上に突出しない。
【0060】リフト・ピン651は、ペデルタルが処理
領域内で移動するときに、部分的にはヒータ・ペデスタ
ル628と一緒に、部分的にはヒータ・ペデスタル62
8とは独立して移動する。ロボット・ブレードが処理領
域からウェハを取り出すことができるように、リフト・
ピンはペデスタル628の上にまで伸長することができ
るが、処理のためにウェハをペデスタルの上部表面に載
置するために、ペデスタルまで降下することも必要であ
る。ピン651を動かすために、ウェハ配置アセンブリ
は、リフト・ピン651の球状下部661と係合するよ
うに構成された環状ピン支持体655、および処理領域
内のヒータ・ペデスタル628の位置によってピン支持
体655を選択的にリフト・ピン651と係合するよう
に配置する駆動部材を含む。ピン支持体はセラミックで
形成することが望ましく、ヒータ・ペデスタル628の
下の心棒626付近に伸長し、支持ピンの球状下部と選
択的に係合する。
【0061】駆動アセンブリは、軸630および接続さ
れたピン支持体655を上昇および下降させ、各処理領
域618、620内でピンを上下に移動させる。ピン駆
動部材は、ヒータ・ペデスタル628に対するピン支持
体プラットフォーム655の移動を制御するために、処
理室106の底面に配置することが望ましい。
【0062】真空システムおよび処理室ポンプ 本発明の処理システム100の真空制御システムは、そ
れぞれ独自の設定圧力を有するシステムの様々な領域に
連結された複数の真空ポンプを含むことができる。しか
し、1つの処理室または領域から別の処理室または領域
にウェハを搬送するには、スリット弁を開ける必要があ
り、これは連結領域の環境を多少混合させたり、圧力を
平衡させる。
【0063】図22aは、本発明の真空システム700
の概略図である。ロードロック室112および搬送室1
04は、ロードロック室および搬送室に隣接するシステ
ムのメインフレーム101に取り付けられた真空ポンプ
121を共用することが望ましい。ロードロック室11
2は、ロードロック室の本体を貫通して配置された排気
口280を通して、ポンプ121により大気圧から真空
排気される。圧力計705により示される搬送室104
の真空圧は、ロードロック室112との連絡によって提
供されるので、搬送室の圧力はつねにロードロック室の
圧力と等しいかそれより高く、ロードロック室内に存在
する微粒子が搬送室104内に引き込まれることはな
い。ロードロック室112の排気口280は、排気管路
704を介しポンプ121に接続される。いつでもロー
ドロック室の圧力を監視するために、排気管路704に
沿って分離弁(isolation valve )708の上流に圧力
計706を配置する。分離弁708は、ロードロック室
の圧力を調整するために、排気管路704の圧力計70
6とポンプ121の間に配置する。分離弁708とポン
プ121の間で排気管路と連絡する真空スイッチ710
も設ける。ポンプ121はあら引きポンプが望ましい
が、適用分野によって、ターボ分子ポンプや極低温ポン
プなど、どんな種類のポンプでも使用できる。また、ロ
ードロック室112や搬送室104に窒素などの通気ガ
スを導入するために、これらのチャンバにそれぞれガス
通気管路712、714を接続する。
【0064】処理室106は、排気口619および排気
管路722を介して、あら引きポンプや極低温ポンプ、
ターボ分子ポンプなどのポンプ720に接続する。動作
中に処理室106の処理領域618、620の圧力調整
するために、排気管路内にスロットル弁724または類
似物を配置する。真空計728に示された圧力に基づ
き、弁制御器726、好ましくはシステム・コントロー
ラの一部がスロットル弁724に制御信号を送信する。
排気口619は各処理領域(図21参照)と連絡し、各
処理領域からの排気管路は、ポンプ720に接続された
単一排気管路722にT形接続することが望ましい。
【0065】本発明の1つの態様では、搬送室104に
連絡しているスロット弁および各処理室106およびロ
ードロック室112の真空制御器は、ロードロック室ま
たはいずれかの処理室106から搬送室に侵入する汚染
物質の量を減少するような方法で作動する。本発明は、
スリット弁を開けてロードロック室と隣接するチャンバ
とを連絡させる前に、ロードロック室の圧力を隣接する
チャンバまたは領域の圧力と等しいかそれより高く、望
ましくは高くする必要がある。ロードロック室の圧力
は、正面端を開けたときだけ、大気圧より高くならなけ
ればならない。ロードロック室の圧力は、真空状態の搬
送室に対して開けたときは、搬送室より低くなければな
らない。搬送室104は処理室と連絡した状態に置かれ
たときに、汚染物質の度合いが特に大きくなるおそれが
あるので、搬送室104の圧力は相対的に高くなること
が、特に重要である。例えば、処理室106の設定圧力
が約10-3torr の場合、スリット弁を開いてウェハを
処理室106に送り込んだり処理室106から取り出す
前に、搬送室の圧力を10-3torr と等しいかそれより
高くする必要があり、約10-2torr より高くすること
が最も望ましい。
【0066】搬送室の圧力は、2通りの方法で制御され
る。第1に、搬送室の真空は、ロードロック室112と
搬送室104の間のスリット弁を開け、次いでロードロ
ック室112内を真空排気することによって達成され
る。この方法では、搬送室内の圧力はロードロック室の
圧力より低くなることはなく、両者間のガス流は、搬送
室からロードロック室112への方向に限られる。搬送
室を処理室と連絡しない限り、搬送室とロードロック室
の間のスリット弁は開けたままにしておくことができ
る。第2に、搬送室にアルゴンや窒素ガス源などからの
パージ・ガス入口を設ける。パージ・ガスを搬送室に連
続的に、または必要なときだけ送り込み、搬送室から正
のガス流を生じさせるのに充分な高い圧力を達成するこ
とができる。
【0067】特に好適なモードでは、搬送室の圧力が処
理室の圧力より低くなる可能性を回避するために、処理
室104と処理室106の間でウェハを移送する間は、
常にロードロック室112のスリット弁を閉じておく。
搬送室の圧力の方が低くなると、処理室から何倍もの汚
染物質が搬送室に、さらにロードロック室にも入り込む
結果となり、それによりウェハのカセット全体が汚染物
質に暴露される。
【0068】図22bは、上述のデュアル・チャンバ・
ロードロックに効果的に使用できる2つのポンプ・シス
テムの概略図を示す。この図から分かるように、2つの
仕切室を一緒に、または選択的に所望の真空圧まで真空
排気することができる。
【0069】ガス・ボックスおよびガスの供給 システムの後部のチャンバの外に、堆積やクリーニング
中に使用されるガスが含まれるガス供給パネルがある。
使用される具体的なガスの種類は、ウェハに堆積する物
質やチャンバから除去する材料によって異なる。処理ガ
スはガス導入口からガス・マニホルドへ流れ、次いでシ
ャワー・ヘッド型ガス分配アセンブリを介してチャンバ
内へ流入する。電動式弁および流量制御機構により、ガ
ス供給源からチャンバまでのガスの流れを制御する。
本発明の1実施例では、ガス・ボックスからチャ
ンバまで前駆ガスを送り込み、上述の通りそこでガス管
路が2つの別個のガス管路に分岐し、チャンバ本体内に
ガスを供給する。プロセスの内容によって、この方法で
何種類のガスでも送り込むことができ、ガスがチャンバ
の底部に送り込まれる前、またはガス分配板に導入され
た後のどちらにでも、ガスを混合することができる。
【0070】電源装置 各ガス分配システムに1つのシステムを接続し、各処理
領域618、620に高度小型RF(“CRF”)電源
システムを使用する。ENI社によって製造されたジェ
ニシス・シリーズ(Genisis Series)の13.56MH
zのRF発生器を、各チャンバごとに1台づつ、システ
ムの後部に設置する。この高周波発生器は固定整合回路
(fixed match )と使用するように設計され、負荷に送
られる電力を調整し、順方向電力および反射電力の懸念
を払拭する。1:5以下のVSWRで最高1250Wま
での電力を負荷インピーダンスに供給することができ
る。高周波RF発生器および低周波RF発生器を処理室
に接続するために、固定整合エンクロージャ(fixed ma
tch enclosure)の設計には低域フィルタが組み込まれ
ている。
【0071】ENI社製の350kHzのRF発生器
を、システムの後部のRF発生器用ラックに配置し、同
軸ケーブルで固定RF整合回路に接続する。低周波RF
発生器は、1つの小型エンクロージャで低周波の発生お
よび固定整合要素の両方を提供する。低周波RF発生器
は、負荷に送られる電力を調整し、順方向電力および反
射電力に関する懸念を軽減する。
【0072】遠隔クリーニング・モジュール 図23および図24は、本発明の遠隔クリーニング・モ
ジュール800の斜視図および断面図である。本発明に
よる遠隔クリーニング・モジュール800は、入口82
0を介して処理室106の処理領域618、620に接
続する。遠隔クリーニング・モジュール800は、一連
の処理が行なわれた後で処理室の内部表面から堆積され
た材料を除去するために使用するガスを供給する。
【0073】遠隔クリーニング・モジュール800は、
前駆ガス供給源804、処理室106の外に配置された
遠隔活性化室806、遠隔活性化室内で前駆ガスを活性
化するための電源装置808、電動式弁および流量制御
機構810、および導管811を介して遠隔室を処理室
に接続する導管または管812を含む。弁および流量制
御機構810は、前駆ガス804から遠隔活性化室80
6まで使用者が選択した流速でガスを送り込む。活性化
室806は、ガス供給管813が貫通するアルミニウム
・エンクロージャを含む。電源装置808はマイクロ波
を発生し、これは導波管805によってエンクロージャ
803内に導入される。ガス供給管813はマイクロ波
を透過するので、マイクロ波は管を突き抜けて前駆ガス
を活性化し、反応性核種を生成し、これは次いで導管8
12を介してガス分配アセンブリに流入し、さらに処理
室へ送られる。すなわち、上部電極すなわちシャワー・
ヘッド608を用いて、反応ガスを処理室の処理領域に
送り込む。上述の実施例では、遠隔室はセラミック管で
あり、電源は2.54GHzのマイクロ波発生器であ
り、その出力はこのセラミック管に送られる。
【0074】任意選択的に、微量キャリア・ガス源81
4を設け、別の弁および流量制御機構816を介して遠
隔活性化室に接続することもできる。微量キャリア・ガ
スは、活性化された核種を成長室に移送するのを補助す
る。ガスは、使用される特定のクリーニング・プロセス
と融和性のある任意の適切な非反応性ガスとすることが
できる。例えば、微量キャリア・ガスは、アルゴン、窒
素、ヘリウム、水素、または酸素等とすることができ
る。活性化された核種を成長室に移送するのを補助する
以外に、キャリア・ガスは、クリーニング・プロセスを
補助したり、成長室におけるプラズマの発生および/ま
たは安定化を助けることもある。
【0075】上述の実施例では、導管または管にフィル
タ818を設け、活性化された核種を成長室に送り込む
前に、このフィルタを通す。フィルタは、反応性核種の
活性化中に形成されたかもしれない微粒子を除去する。
上述の実施例では、セラミック材で形成され、細孔径が
約0.01ないし約0.03ミクロンのフィルタを使用
する。いうまでもなく、他の材料、例えばテフロンを使
用することもできる。
【0076】フィルタは、遠隔活性化室内における反応
の副生物として発生した、望ましくなく物質を除去する
ためにも使用できるということに、留意されたい。例え
ば、反応ガスCF4またSF6または炭素かイオウを
含有する他のハロゲン化合物である場合、活性化プロセ
スの副生物として、活性化された炭素またはイオウの核
種が存在する。成長室は炭素やイオウが存在しない方
が、一般的に望ましい。これは、活性化が完全に成長室
内で行なわれる従来の乾式クリーニング・プロセスで
は、これらの化合物が一般的に使用されないためであ
る。しかし、ここで述べるように活性化が遠隔的に行な
われる場合には、適切なフィルタ材を用いることによっ
て、これらの物質を簡単に除去することができる。こう
したフィルタ材は市場で容易に入手することができ、当
業者には周知である。
【0077】上述の実施例では、前駆ガスNF3であ
る。活性化核種の流速は毎分約0.5リットルないし約
2リットルであり、チャンバの圧力は約0.5ないし約
2.5torrである。前駆ガスを活性化するために、マイ
クロ波電源装置は約500ないし約1500Watts
を活性化室に供給する。成長室内では、RF電源が約1
00ないし約200Wattsをプラズマに供給する。
本システムに関して、これは上下電極間の電圧が約15
ないし約20ボルトであることを示す。正確な電圧およ
び電流は圧力によって異なる。すなわち、固定電圧を前
提とすると、電流は圧力に正比例する。いかなる場合
も、チャンバ内では穏やかなプラズマを生成するだけで
よく、遠隔発生源からチャンバ内に導入された活性化核
種を維持するだけの強さで充分である。
【0078】けい素(Si)、ドープされた(doped)ケイ
素、窒化ケイ素(Si3N+4)、および酸化ケイ素(Si
O2)を成長させたチャンバはNF3ガスを供給ガスと
して使用することにより、クリーニングすることができ
る。成長した膜のクリーニング速度は、窒化ケイ素の場
合は約2ミクロン/分であり、ケイ素、ドープされたケ
イ素、および酸化ケイ素の場合約1ミクロン/分であ
る。これらのクリーニング速度は、13.56MHzの
RFで約1ないし2KWの電力レベルによる局所プラズ
マだけを使用する従来のクリーニング・プロセスより2
倍ないし4倍速い。
【0079】上述の実施例ではマイクロ波発生器を用い
て前駆ガスを活性化するが、前駆ガスを活性化できるど
んな電源装置でも使用することができる。例えば、遠隔
プラズマおよび局所プラズマは両方とも、無線周波数
(RF)およびマイクロ波(MW)を使用したDC放電
技術を使用することができる。さらに、RF電源を使用
する場合、それは、チャンバの内部に静電結合または容
量結合することができる。活性化は、ごく一部の例を挙
げると、熱を使用したガス分解技術、高密度光源、また
はX線源などによって実行することもできる。 一般
に、反応ガスは、一般に使用されるハロゲンおよびハロ
ゲン化合物をはじめ、幅広い選択肢の中から選択するこ
とができる。例えば、塩素、フッ素、またはこれらの化
合物(例NF3CF4SF6、C2F6、Cl4、C
2Cl6など)を反応ガスとすることができる。いうまで
もなく、実際に使用する特定のガスは、除去しようとす
る堆積物質によって異なる。例えば、タングステン成長
システムの場合、堆積したタングステンをエッチングお
よび/または除去するために一般的に使用するのは、フ
ッ素化合物のガスである。
【0080】局所プラズマを遠隔プラズマと併用するの
で、遠隔活性化室はチャンバから遠くに離して配置する
ことができる。したがって、2つの遠隔源を局所源に接
続する配管のみが必要である。移送中に、活性化された
核種の一部クエンチング(すなわち活性化各種の不活
化)が発生することがある。しかし、局所源は、そうし
たクエンチングの発生を補足する。実際、一部の寿命の
長い活性化核種(例ばF*)は、クエンチングを発生
すると、一般に基底状態に戻らず、中間状態に遷移す
る。したがって、クエンチングを発生した核種を再活性
化するために必要なエネルギ量は、遠隔活性化室でガス
を活性化するために必要なエネルギ量より、ずっと少な
くてすむ。したがって、局所活性化源(例えばプラズ
マ)は、高いエネルギ源を必要としない。
【0081】成長室から離れた位置に遠隔源を配置する
ことにより、活性化プロセス中に生成された寿命の短い
ラジカルは、寿命の長いラジカル共々成長室に移送され
るときに、寿命の長いラジカルより完全なクエンチング
を生じる。したがって、成長室に流入する反応ガスは、
移送後に残存した寿命の長いラジカルを主に含む。例え
ば、反応ガスNF3である場合、遠隔活性化室では2
種類のラジカル、すなちN*おびF*が生成される。
窒素ラジカルは寿命が短く、フッ素ラジカルは寿命が長
い。窒素ラジカルは一般的に、遠隔室から成長室までの
長い移送の後では残存しないが、フッ素ラジカルは残存
する割合が大きい。これは、システムで行なわれる非常
に望ましい自然のフィルタリングの一形態である。例え
ば窒素ラジカルの場合、これが存在すると、ポンプを破
損するおそれのるNxHyFz化合物が形成されるの
で、成長室にこれが存在しないことが望ましい場合がし
ばしばある。しかし、従来のクリーニング技術の場合の
ように、成長室内で活性化が行なわれると、生成される
窒素ラジカルを除去する簡単な方法は無い。
【0082】乾式クリーニング・プロセスでは、性能を
著しく低下させることなく、反応室の圧力としてかなり
広い範囲の値を選択することができる。好適な圧力範囲
は約0.1ないし約2torrであるが、この範囲外の圧力
を使用することもできる。さらに、上述の実施例のため
に選択した周波数は単なる実証例であって、本発明で使
用できる周波数は、上述の実施例で使用した周波数に限
定されない。例えば、RF電源に関連して、プラズマを
発生させるために幅広い範囲の周波数(例:400KH
zないし13.56MHz)が一般的に使用され、これ
らの周波数を本発明で使用することもできる。しかし、
一般的に、選択された電力レベル、流速、および圧力
は、システムに特定的な値であり、したがって処理が実
行される特定のシステムに対して最適化する必要がある
ことを、理解する必要がある。特定のシステムで最適性
能を達成するために処理条件を適切に調整することは、
当業者の能力の範囲内である。
【0083】プログラミング システム制御装置は、コンピュータのハードディスク・
ドライブに保存されたコンピュータ・プログラムの制御
下で作動する。コンピュータ・プログラムは、プロセス
の順序およびタイミング、ガスの混合、チャンバの圧
力、RF電力レベル、サセプタの位置決め、スリット弁
の開閉、ウェハの加熱、および特定のプロセスのその他
のパラメータを制御する。使用者とシステム制御装置と
の間のインタフェースは、図8に示すCRTモニタおよ
びライトペンを使用することが望ましい。好適な実施例
では2台のモニタを使用し、1台はオペレータ用でクリ
ーン・ルームの壁に取り付け、もう1台は保守整備技術
者用で壁の後ろに取り付ける。両方のモニタが同じ情報
を同時に表示するが、ライトペンは1本しか使用できな
い。ライトペンは、ペンの先端部にある光センサで、C
RTディスプレイによって放出される光を検出する。特
定の画面または機能を選択するには、オペレータがディ
スプレイ画面の指定領域に触れ、ペンのボタンを押す。
ディスプレイ画面は一般に、例えばハイライトまたは色
などでその外見を変化させるか、あるいは新しいメニュ
ーまたは画面を表示することにより、ライトペンと接触
領域との間の相互連絡を確認する。
【0084】例えばシステム制御装置上で実行するコン
ピュータ・プログラム製品を使用して、様々なプロセス
を実現することができる。コンピュータ・プログラム・
コードは、例えば68000アセンブリ言語、C、C+
+、またはパスカルなど、従来のコンピュータが読取り
可能などのプログラミング言語でも書くことができる。
適切なプログラム・コードを、従来のテキスト・エディ
タを用いて単一のファイルまたは複数のファイルに入力
し、コンピュータのメモリ・システムなどコンピュータ
が使用可能な媒体に保存または収容する。入力したコー
ドが高水準言語である場合には、コードはコンパイルさ
れ、その結果得られるコンパイラ・コードが事前にコン
パイルされたライブラリ・ルーチンのオブジェクト・コ
ードに連結される。連結されたコンパイル・オブジェク
ト・コードを実行する場合は、システム・ユーザがオブ
ジェクト・コードを呼び出すと、コンピュータ・システ
ムがコードをメモリにロードし、そこからCPUがコー
ドを読み出して実行し、プログラムに識別されたタスク
を実行する。
【0085】図25は、コンピュータ・プログラム14
10の好適な階層型制御構造の例証ブロック図である。
ユーザは、CRTモニタに表示されるメニュまたは画面
に応答し、ライトペン・インタフェースを使用すること
によって、プロセス・セット番号および処理室番号をプ
ロセス選択サブルーチン1420に入力する。プロセス
・セットは、指定されたプロセスを実行するために必要
な予め定められた組のプロセス・パラメータを提示し、
予め定められたセット番号によって識別される。プロセ
ス選択サブルーチン1420は、(i)所望の処理室、
および(ii)その処理室を作動して所望のプロセスを
実行するために必要な所望の組のプロセス・パラメータ
を識別する。特定のプロセスを実行するためのプロセス
・パラメータは、例えば処理ガスの組成、流速、温度、
圧力、RFバイアス電力レベルや磁界電力レベルなどの
プラズマ状態、冷却ガスの圧力、および処理室の壁の温
度などのプロセス条件に関連し、レシピの形でユーザに
提示される。レシピによって指定されるパラメータは従
来の方法で入力するが、ライトペン/CRTモニタ・イ
ンタフェースを利用する方法が最も望ましい。
【0086】プロセスを監視するために様々な計器や装
置から出力される電子信号は、システム制御装置のアナ
ログ入力基板やデジタル入力基板を介してコンピュータ
に入力される。ポーリングなど、従来の処理室監視方法
を使用することもできる。さらに、様々なプロセス制御
装置または機器を操作する電子信号は、システム制御装
置のアナログ出力基板やデジタル出力基板を介して出力
される。これらの監視および制御用の機器の数量、種
類、および設置は、システムの特定の最終目的や所望の
プロセス制御の程度によって、システムごとに異なる。
特定の用途に最適な種類の熱電対など、特定の機器の仕
様または選択は当業者には周知である。
【0087】プロセス順序付けサブルーチン1430
は、プロセス選択サブルーチン1420から識別された
処理室番号およびプロセス・パラメータの組を受け入
れ、様々な処理室の動作を制御するためのプログラム・
コードで構成される。複数のユーザがプロセス・セット
番号や処理室番号を入力することができ、また1人のユ
ーザが複数の処理室番号を入力することができるので、
順序付けサブルーチン1430は、選択されたプロセス
を所望の順序で実行するように計画するために作動す
る。プロセス順序付けサブルーチン1430は、(i)
処理室の動作を監視して、処理室が使用されているか否
かを決定する段階、(ii)使用中の処理室でどのプロ
セスが実行中であるかを決定する段階、および(ii
i)処理室の利用可能性および実行されるプロセスの種
類に基づき、所望のプロセスを実行する段階を実行する
ためのプログラム・コードを含む。どのプロセスを実行
するかを計画するとき、順序付けサブルーチン1430
は、使用する処理室の現在の条件と選択されたプロセス
のために望ましいプロセス条件の比較、または要求を入
力した特定のユーザの「エージ(age)」、または計画の
優先度を決定するために含めることが望ましいシステム
・プログラマのその他の関連要素を考慮するように設計
することができる。
【0088】順序付けサブルーチン1430がどの処理
室とプロセス・セットの組み合わせを次に実行するかを
決定すると、順序付けサブルーチン1430は、特定の
プロセス・セット・パラメータをチャンバ管理サブルー
チン1440a−cに渡すことによって、プロセス・セ
ットを実行させ、チャンバ管理サブルーチンは、順序付
けサブルーチン1430によって決定されたプロセス・
セットに従って、処理室106における複数のプロセス
・タスクを制御する。例えば、チャンバ管理サブルーチ
ン1440aは、処理室106内でのスパッタリングお
よびCVDプロセス動作を制御するプログラム・コード
で構成される。チャンバ管理サブルーチン1440はま
た、選択されたプロセス・セットを実行するために必要
なチャンバ構成部品の動作を制御する様々なチャンバ構
成部品サブルーチンの実行も制御する。チャンバ構成部
品サブルーチンの例として、ウェハ配置サブルーチン1
450、処理ガス制御サブルーチン1460、圧力制御
サブルーチン1470、ヒータ制御サブルーチン148
0、およびプラズマ制御サブルーチン1490などがあ
る。当業者は、処理室106でどんなプロセスを実行し
たいかによって、その他のチャンバ制御サブルーチンを
含めることができることを理解されるであろう。動作
中、チャンバ管理サブルーチン1440aは、実行され
る特定のプロセス・セットに従って、プロセス構成部品
サブルーチンを選択的に計画したり、呼び出す。チャン
バ管理サブルーチン1440aは、順序付けサブルーチ
ン1430がどの処理室106およびプロセス・セット
を次に実行するかを計画するのと同様の方法で、プロセ
ス構成要素サブルーチンを計画する。一般的に、チャン
バ管理サブルーチン1440aは、様々なチャンバ構成
部品を監視する段階、実行するプロセス・セットの処理
パラメータに基づき、どの構成部品を操作する必要があ
るかを決定する段階、および監視および決定段階に応答
してチャンバ構成部品サブルーチンを実行させる段階を
含む。
【0089】次に、図25に関連して、特定のチャンバ
構成部品サブルーチンの動作について説明する。ウェハ
配置サブルーチン1450は、ウェハをペデスタル62
8に載置し、任意選択的にウェハを処理室106内で所
望の高さまで上昇させ、ウェハとシャワー・ヘッド64
2の間隔を制御するために使用するチャンバ構成部品を
制御するためのプログラム・コードで構成される。ウェ
ハが処理室106に取り込まれると、ペデスタル628
が下降し、リフト・ピン・アセンブリが上昇してウェハ
を受け取り、その後、ペデスタル628が、例えばCV
Dプロセス中にガス分配マニホルドから第1距離または
間隔の位置にウェハを保持するために、チャンバ内の所
望の高さ(例えば)まで上昇する。動作中、ウェハ配置
サブルーチン1450は、チャンバ管理サブルーチン1
440aから転送された支持体の高さに関連するプロセ
ス・セット・パラメータに応答して、リフト・アセンブ
リおよびペデスタル628の動きを制御する。
【0090】プロセス・ガス制御サブルーチン1460
は、処理ガス組成および流量を制御するためのプログラ
ム・コードを含む。処理ガス制御サブルーチン1460
は、安全遮断弁の開閉位置を制御し、かつ質量流量制御
装置を上下することにより、所望のガス流量を達成す
る。処理ガス制御サブルーチン1460は、全てのチャ
ンバ構成部品サブルーチンと同様に、チャンバ管理サブ
ルーチン1440aによって呼び出され、チャンバ管理
サブルーチンから所望のガス流量に関連するプロセス・
パラメータを受け取る。一般的に、処理ガス制御サブル
ーチン1460は、ガス源と処理室106のガス供給管
路との間の単一制御弁を開け、繰り返し(i)質量流量
を測定し、(ii)実際の流量とチャンバ管理サブルー
チン1440aから受け取った所望の流量とを比較し、
(iii)必要に応じて主ガス供給管路の流量を調整す
ることによって作動する。さらに、処理ガス制御サブル
ーチン1460は、ガス流量が危険な流速でないかを監
視し、危険な状態を検出したときは安全遮断弁を起動す
る段階をも含む。
【0091】プロセスによっては、反応性処理ガスを処
理室106に導入する前、にアルゴンなどの不活性ガス
を処理室106に導入し、室内の圧力を安定させる。こ
れらのプロセスを実行する場合は、室内の圧力を安定さ
せるために必要な時間の量だけ不活性ガスを処理室10
6に流入させる段階を含めるように処理ガス制御サブル
ーチンをプログラムすると、上述の段階が実行される。
さらに、例えばテトラエチルオルソシラン(TEOS)
などの液体前駆物質から処理ガスを気化させる場合、気
泡発生アセンブリ(bubbler assembly)で液体前駆物質
からヘリウムなどの供給ガスを発生させる段階を含める
ように、プロセス管理サブルーチン1460を作成す
る。この種のプロセスについては、処理ガス制御サブル
ーチン1460が、所望の処理ガス流量を達成するため
に、供給ガスの流量、気泡発生装置の圧力、および気泡
発生装置の温度を調整する。上述の通り、所望の処理ガ
ス流量は、プロセス・パラメータとして処理ガス制御サ
ブルーチン1460に転送される。さらに、処理ガス制
御サブルーチン1460は、任意の処理ガス流量に対し
必要な値を包含する保存データ・テーブルにアクセスす
ることにより、所望の処理ガス流量を達成するために必
要な供給ガスの流量、気泡発生装置の圧力、および気泡
発生装置の温度を入手する段階を含む。いったん必要な
値を入手すると、供給ガスの流量、気泡発生装置の圧
力、および気泡発生装置の温度を監視し、必要な値と比
較し、それに従って調整する。
【0092】圧力制御サブルーチン1470は、処理室
の排気システムのスロットル弁の開口サイズを調整する
ことにより、処理室106内の圧力を調整するプログラ
ム・コードで構成される。スロットル弁の開口サイズを
変化させ、処理ガス総流量、処理室のガス包含容量、お
よび排気システムの真空排気設定圧力に関連して、処理
室の圧力を所望のレベルに制御する。圧力制御サブルー
チン1470を呼び出すと、チャンバ管理サブルーチン
1440aから所望の設定圧力レベルがパラメータとし
て渡される。圧力制御サブルーチン1470は、処理室
に接続された1つ以上の従来の圧力マノメータを用いて
処理室106の圧力を測定し、測定値を設定圧力と比較
し、設定圧力に対応する保存された圧力テーブルからP
ID(比例、積分、および微分)制御パラメータを入手
し、圧力テーブルから入手したPID値に従ってスロッ
トル弁を調整するように作動する。代替的に、圧力制御
サブルーチン1470は、スロットル弁を特定の開口サ
イズまで開閉して、処理室106を所望の圧力まで調整
するように作成することもできる。
【0093】ヒータ制御サブルーチン1480は、ウェ
ハ502を加熱するために使用するランプまたはヒータ
・モジュールの温度を制御するプログラム・コードで構
成される。ヒータ制御サブルーチン1480もまた、チ
ャンバ管理サブルーチン1440aによって呼び出さ
れ、所望の温度または設定温度パラメータを受け取る。
ヒータ制御サブルーチン1480は、ペデスタル628
に配置された熱電対の電圧出力を測定することによって
温度を決定し、測定温度と設定温度を比較し、ヒータに
印加される電流を増減することによって設定温度を達成
する。温度は、保存された変換テーブルの対応する温度
を研削するか、または4次多項式を使用して温度を計算
することによって、測定電圧から入手する。放射ランプ
(radiantlamp)を使用してペデスタル628を加熱す
る場合、ヒータ制御サブルーチン1480はランプに印
加する電流の増減を徐々に制御する。徐々に増減するこ
とにより、ランプの寿命および信頼性が高まる。さら
に、プロセスの安全性コンプライアンスを検出するため
に内蔵フェイルセーフ・モードを設けることができ、処
理室106が適正に準備設定されない場合、ランプまた
はヒータ・モジュールの動作を停止することができる。
【0094】プラズマ制御サブルーチン1490は、処
理室106内のプロセス電極に印加されるRFバイアス
電圧電力レベルを設定し、任意選択的に処理室内に発生
する磁界のレベルを設定するプログラム・コードで構成
される。上述のチャンバ構成部品サブルーチンと同様
に、プラズマ制御サブルーチン1490もチャンバ管理
サブルーチン1440aによって呼び出される。
【0095】以上、本発明のシステムをプラズマ・エン
ハンストCVDの適用例に関連して説明したが、本発明
は、高密度(HDP)CVD室やPVD室およびエッチ
ング室の使用をも含むことを理解されたい。例えば、本
発明のシステムは、プラズマ処理用のタンデムHDP
CVD室を含めるように適応することができる。1つの
代替実施例では、ガス分配/蓋アセンブリの代わりにド
ームの周囲に誘導コイルを配置した誘電体ドームを使用
し、コイルにRF電源を接続して、処理室内で高密度プ
ラズマの誘導結合を生じさせることができる。同様に、
堆積材料源としてターゲット・アセンブリを配置したタ
ンデムPVD室を構成し、ターゲット・アセンブリにD
C電源を接続して、スパッタリング電力を供給すること
ができる。
【0096】以上の説明は本発明の好適な実施例に向け
られているが、本発明の基本的範囲から逸脱することな
く、本発明の他の実施例を考案することができる。本発
明の範囲は、請求の範囲によって決定される。
【図面の簡単な説明】
【図1】ノベラス・コーポレーションから入手可能なバ
ッチ処理用の放射状クラスタ・ツールの略平面図であ
る。
【図2】(A)は、マットソン・テクノロジー社から入
手可能なバッチ処理用の直線クラスタ・ツールの略平面
図である。(B)は、マットソン・テクノロジー社から
入手可能なバッチ処理用の直線クラスタ・ツールの略側
面図である。
【図3】複数の枚葉式ウェハ処理チャンバを有するクラ
スタ・ツールの略平面図である。
【図4】本発明の真空処理システムの1実施例の斜視図
である。
【図5】本発明の真空処理システムの1実施例の略平面
図である。
【図6】本発明の真空処理システムの1実施例の正面図
である。
【図7】本発明の真空処理システムの1実施例の背面図
である。
【図8】本発明の前置ローディング・システムの斜視図
である。
【図9】本発明のロードロック室内部の実質的正面斜視
図である。
【図10】本発明のロードロック室の断面図である。
【図11】ロードロック室の正面に取り付けられたゲー
ト・バルブおよび作動アセンブリを示すロードロック室
の斜視図である。
【図12】本発明のロードロック室の別の実施例の斜視
図である。
【図13】内部に搬送ウェハ・ハンドリング部材が配置
された搬送室と、2つのウェハ・カセットを有する前置
プラットフォームと、ウェハのマッピングおよびセンタ
リングのために前置プラットフォームに取り付けられた
前置ウェハ・ハンドリング部材とを示す本発明の平面図
である。
【図14】本発明の搬送室の側面断面図である。
【図15】搬送室および処理室の平面図であり、搬送室
に取り付けられた本発明のウェハ・ハンドリング部材が
引っ込んだ位置にあって搬送室内での回転または他のチ
ャンバへの伸長が実行可能な状態を示す。
【図16】搬送室および処理室の平面図であり、搬送室
に取り付けられた本発明のウェハ・ハンドリング部材が
伸長した位置にあって、ブレードが処理室内に配置され
ている状態を示す。
【図17】本発明のウェハ・ハンドリング・システムの
磁気結合による作動アセンブリの断面図である。
【図18】本発明の処理室の1実施例の斜視図である。
【図19】本発明の処理室の1実施例の断面図である。
【図20】ガス分配アセンブリの分解図である。
【図21】蓋を取り外した状態の本発明の処理室の平面
図である。
【図22】(A)は、本発明の真空システムの概略図で
ある。(B)は、本発明の別の真空システムの概略図で
ある。(C)は、本発明の別の真空システムの概略図で
ある。
【図23】処理室に取り付けられた遠隔プラズマ室の斜
視図である。
【図24】処理室に取り付けられた遠隔プラズマ室の断
面図である。
【図25】プロセス制御用のコンピュータ・プログラム
の階層的制御構造の例証ブロック図である。
【図26】 本発明のロボットの最短時間経路を示す搬
送室の平面図である。
【図27】図26に示す経路の最適速度分布を示すグラ
フである。
【図28】本発明のロボットの最短時間経路を示す搬送
室の平面図である。
【図29】図28に示す経路の最適速度分布を示すグラ
フである。
【符号の説明】
100…処理システム 103…ガス・パネル 104…搬送室 105…配電盤 107…発電機 109…ウェハ・カセット 110…プラットフォーム 111…ウェハ・カセット回転台 112…ロードロック室 121…真空ポンプ 218…ロードロック・カセット
───────────────────────────────────────────────────── フロントページの続き (72)発明者 クリストファー レーン アメリカ合衆国, カリフォルニア州, サン ノゼ, アルマデン ロード 1776, ナンバー1906 (72)発明者 サティシュ スンダー アメリカ合衆国, カリフォルニア州, マウンテン ヴュー, ノース ウィスマ ン ロード 100, ナンバー313 (72)発明者 ケリー コルボーン アメリカ合衆国, カリフォルニア州, サン ノゼ, トゥリップ ロード 2326

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 (a)第1回転部材と、(b)第2回転
    部材と、(c)同一平面上にある第1および第2ウェハ
    ・ブレードを少なくとも有するブレード・アセンブリ
    と、(d)前記第1および第2回転部材を前記ブレード
    ・アセンブリに接続するアーム・アセンブリと、を含む
    ウェハ搬送装置。
  2. 【請求項2】 前記第1回転部材を回転する第1作動部
    材と、前記第2回転部材を回転する第2作動部材とをさ
    らに含む請求項1記載の装置。
  3. 【請求項3】 前記第1および第2作動部材が前記第1
    および第2回転部材に磁気的に結合された請求項2記載
    の装置。
  4. 【請求項4】 前記アーム・アセンブリが、前記ブレー
    ド・アセンブリに旋回可能に接続された第1および第2
    筋かい(strut)をそれぞれ有する2つのアームを含む請
    求項3記載の装置。
  5. 【請求項5】 各アセンブリの前記2つのアームが旋回
    可能に接続された請求項4記載の装置。
  6. 【請求項6】 (a)第1作動部材と、(b)第2作動
    部材と、(c)前記第1作動部材に接続された第1アー
    ムと、(d)前記第2作動部材に接続された第2アーム
    と、(e)第1および第2筋かいに接続され、2つのウ
    ェハ座面が配置されたウェハ支持体、を含むウェハ・ハ
    ンドラ。
  7. 【請求項7】 前記第1および第2アームが、第2筋か
    いに旋回可能に接続された第1筋かいを含む請求項6記
    載のウェハ・ハンドラ。
  8. 【請求項8】 前記第1アームが前記第1作動部材と前
    記ウェハ支持体との間に配置され、前記第2アームが前
    記第2作動部材と前記ウェハ支持体との間に配置された
    請求項7記載のウェハ・ハンドラ。
  9. 【請求項9】 前記ウェハ支持体が基部および前記基部
    から伸長する2つのウェハ・ブレードをさらに含む請求
    項8記載のウェハ・ハンドラ。
  10. 【請求項10】 前記第1作動部材に接続された第1ア
    クチュエータと、前記第2作動部材に接続された第2ア
    クチュエータとをさらに含む請求項9記載のウェハ・ハ
    ンドラ。
  11. 【請求項11】 前記第1および第2アクチュエータが
    可変速度を有する請求項10記載のウェハ・ハンドラ。
JP9315474A 1996-11-18 1997-11-17 デュアル・ブレード・ロボット Pending JPH10275848A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/752471 1996-11-18
US08/752,471 US5838121A (en) 1996-11-18 1996-11-18 Dual blade robot

Publications (1)

Publication Number Publication Date
JPH10275848A true JPH10275848A (ja) 1998-10-13

Family

ID=25026460

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9315474A Pending JPH10275848A (ja) 1996-11-18 1997-11-17 デュアル・ブレード・ロボット

Country Status (2)

Country Link
US (1) US5838121A (ja)
JP (1) JPH10275848A (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11154633A (ja) * 1997-07-08 1999-06-08 Balzers Hochvakuum Ag ワークピースを真空処理するためのプロセス、真空処理システム、および真空処理モジュール
JP2001044267A (ja) * 1999-07-28 2001-02-16 Mitsui Eng & Shipbuild Co Ltd 真空容器ロードロック装置
JP2001210695A (ja) * 2000-01-28 2001-08-03 Anelva Corp 基板処理装置の基板移載装置
JP2002198411A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd 圧力制御方法、搬送装置およびクラスタツール
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6634845B1 (en) 1999-06-18 2003-10-21 Tokyo Electron Limited Transfer module and cluster system for semiconductor manufacturing process
US6991419B2 (en) 2001-04-16 2006-01-31 Samsung Electronics Co., Ltd. Method and apparatus for transferring a wafer
JP2006041509A (ja) * 2004-07-12 2006-02-09 Applied Materials Inc 電子デバイス製造工具占有スペースを減少させる方法および装置
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
KR100808331B1 (ko) * 2000-05-04 2008-02-27 어플라이드 머티어리얼스, 인코포레이티드 온도 민감성 응용을 갖는 로봇용 장치 및 방법
JP2008277725A (ja) * 2007-05-02 2008-11-13 Psk Inc 基板返送ユニット、及び基板移送方法、そして前記ユニットを有する基板処理装置、及び前記ユニットを利用した基板処理方法
WO2008155932A1 (ja) * 2007-06-19 2008-12-24 Ulvac, Inc. 基板搬送方法
JP2009059741A (ja) * 2007-08-30 2009-03-19 Ulvac Japan Ltd 真空処理装置、基板搬送方法
US8007218B2 (en) 2007-01-19 2011-08-30 Psk Inc. Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
US8321047B2 (en) 2008-12-29 2012-11-27 Canon Anelva Corporation Substrate processing system and substrate processing device
JP2015154083A (ja) * 2014-02-13 2015-08-24 ユ−ジーン テクノロジー カンパニー.リミテッド 基板搬送ロボット及びそれを用いた基板処理装置
KR20190139324A (ko) * 2017-06-09 2019-12-17 맷슨 테크놀로지, 인크. 균일성 제어를 이용하는 플라즈마 스트립 도구

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997034742A1 (fr) * 1996-03-18 1997-09-25 Komatsu Ltd. Dispositif de commande d'un systeme de transport de pieces
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
GB9713390D0 (en) * 1997-06-26 1997-08-27 Trikon Equip Ltd Apparatus for processing workpieces
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
GB9805372D0 (en) * 1998-03-14 1998-05-06 Renishaw Plc Indexing mechanism
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6571657B1 (en) 1999-04-08 2003-06-03 Applied Materials Inc. Multiple blade robot adjustment apparatus and associated method
US6551484B2 (en) 1999-04-08 2003-04-22 Applied Materials, Inc. Reverse voltage bias for electro-chemical plating system and method
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US20030213772A9 (en) * 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6513848B1 (en) 1999-09-17 2003-02-04 Applied Materials, Inc. Hydraulically actuated wafer clamp
US6443359B1 (en) * 1999-12-03 2002-09-03 Diebold, Incorporated Automated transaction system and method
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6913680B1 (en) 2000-05-02 2005-07-05 Applied Materials, Inc. Method of application of electrical biasing to enhance metal deposition
EP1337693A2 (en) 2000-05-23 2003-08-27 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
US6576110B2 (en) 2000-07-07 2003-06-10 Applied Materials, Inc. Coated anode apparatus and associated method
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
EP1470268A2 (en) * 2000-10-03 2004-10-27 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6558562B2 (en) 2000-12-01 2003-05-06 Speedfam-Ipec Corporation Work piece wand and method for processing work pieces using a work piece handling wand
US6478937B2 (en) 2001-01-19 2002-11-12 Applied Material, Inc. Substrate holder system with substrate extension apparatus and associated method
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
JP4372443B2 (ja) * 2003-04-01 2009-11-25 東京エレクトロン株式会社 処理装置および処理方法
US20040206628A1 (en) * 2003-04-18 2004-10-21 Applied Materials, Inc. Electrical bias during wafer exit from electrolyte bath
US6876095B2 (en) * 2003-06-07 2005-04-05 Gregory M. Williams Generator apparatus
US20050092602A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a membrane stack
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US8000837B2 (en) 2004-10-05 2011-08-16 J&L Group International, Llc Programmable load forming system, components thereof, and methods of use
US20060102467A1 (en) * 2004-11-15 2006-05-18 Harald Herchen Current collimation for thin seed and direct plating
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
WO2007061603A2 (en) * 2005-11-21 2007-05-31 Applied Materials, Inc. Methods and apparatus for transferring substrates during electronic device manufacturing
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US7950407B2 (en) 2007-02-07 2011-05-31 Applied Materials, Inc. Apparatus for rapid filling of a processing volume
US8267636B2 (en) 2007-05-08 2012-09-18 Brooks Automation, Inc. Substrate transport apparatus
CN101790673B (zh) 2007-06-27 2013-08-28 布鲁克斯自动化公司 用于自轴承电机的位置反馈
US9752615B2 (en) 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
US8659205B2 (en) * 2007-06-27 2014-02-25 Brooks Automation, Inc. Motor stator with lift capability and reduced cogging characteristics
US8823294B2 (en) 2007-06-27 2014-09-02 Brooks Automation, Inc. Commutation of an electromagnetic propulsion and guidance system
KR101660894B1 (ko) 2007-06-27 2016-10-10 브룩스 오토메이션 인코퍼레이티드 다차원 위치 센서
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
CN101801817B (zh) 2007-07-17 2015-07-22 布鲁克斯自动化公司 具备集成到室壁上的电动机的基片加工装置
US7861540B2 (en) * 2008-01-25 2011-01-04 Hamilton Storage Technologies, Inc. Automated storage and retrieval system for storing biological or chemical samples at ultra-low temperatures
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US20090281266A1 (en) * 2008-05-12 2009-11-12 O'lenick Kevin Anthony Star silicone resins
JP5568328B2 (ja) * 2010-02-08 2014-08-06 川崎重工業株式会社 搬送装置
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
US9117865B2 (en) 2012-04-12 2015-08-25 Applied Materials, Inc. Robot systems, apparatus, and methods having independently rotatable waists
ITBO20120304A1 (it) * 2012-06-05 2013-12-06 Marchesini Group Spa Dispositivo per il trasferimento di articoli farmaceutici da una contatrice all'interno di contenitori in avanzamento in continuo e macchina per il confezionamento di articoli farmaceutici entro relativi contenitori
US20140360864A1 (en) * 2013-06-07 2014-12-11 Tsmc Solar Ltd. Apparatus and methods for forming chalcopyrite layers onto a substrate
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
US9986598B2 (en) 2014-07-02 2018-05-29 Applied Materials, Inc. Temperature control apparatus including groove-routed optical fiber heating, substrate temperature control systems, electronic device processing systems, and processing methods
KR101999838B1 (ko) * 2015-08-11 2019-07-15 삼성디스플레이 주식회사 기판 처리 시스템
US10520371B2 (en) 2015-10-22 2019-12-31 Applied Materials, Inc. Optical fiber temperature sensors, temperature monitoring apparatus, and manufacturing methods
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
DE69027273T2 (de) * 1989-10-20 1997-01-23 Applied Materials Inc Biaxialer Roboter mit magnetischer Kupplung
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JP3196218B2 (ja) * 1991-01-10 2001-08-06 ソニー株式会社 ウエハ搬送装置とウエハ搬送方法
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
US5275303A (en) * 1992-02-03 1994-01-04 Applied Materials, Inc. Valve closure mechanism for semiconductor deposition apparatus
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11154633A (ja) * 1997-07-08 1999-06-08 Balzers Hochvakuum Ag ワークピースを真空処理するためのプロセス、真空処理システム、および真空処理モジュール
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6634845B1 (en) 1999-06-18 2003-10-21 Tokyo Electron Limited Transfer module and cluster system for semiconductor manufacturing process
JP2001044267A (ja) * 1999-07-28 2001-02-16 Mitsui Eng & Shipbuild Co Ltd 真空容器ロードロック装置
JP4526151B2 (ja) * 2000-01-28 2010-08-18 キヤノンアネルバ株式会社 基板処理装置の基板移載装置
JP2001210695A (ja) * 2000-01-28 2001-08-03 Anelva Corp 基板処理装置の基板移載装置
KR100808331B1 (ko) * 2000-05-04 2008-02-27 어플라이드 머티어리얼스, 인코포레이티드 온도 민감성 응용을 갖는 로봇용 장치 및 방법
JP2002198411A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd 圧力制御方法、搬送装置およびクラスタツール
US6991419B2 (en) 2001-04-16 2006-01-31 Samsung Electronics Co., Ltd. Method and apparatus for transferring a wafer
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
JP4567541B2 (ja) * 2004-07-12 2010-10-20 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造工具占有スペースを減少させる方法および装置
JP2006041509A (ja) * 2004-07-12 2006-02-09 Applied Materials Inc 電子デバイス製造工具占有スペースを減少させる方法および装置
US8007218B2 (en) 2007-01-19 2011-08-30 Psk Inc. Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
JP2008277725A (ja) * 2007-05-02 2008-11-13 Psk Inc 基板返送ユニット、及び基板移送方法、そして前記ユニットを有する基板処理装置、及び前記ユニットを利用した基板処理方法
WO2008155932A1 (ja) * 2007-06-19 2008-12-24 Ulvac, Inc. 基板搬送方法
JPWO2008155932A1 (ja) * 2007-06-19 2010-08-26 株式会社アルバック 基板搬送方法
US7845897B2 (en) 2007-06-19 2010-12-07 Ulvac, Inc. Method for transporting substrates
KR101044586B1 (ko) 2007-06-19 2011-06-29 가부시키가이샤 알박 기판 반송 방법
JP4809478B2 (ja) * 2007-06-19 2011-11-09 株式会社アルバック 基板搬送方法
JP2009059741A (ja) * 2007-08-30 2009-03-19 Ulvac Japan Ltd 真空処理装置、基板搬送方法
US8321047B2 (en) 2008-12-29 2012-11-27 Canon Anelva Corporation Substrate processing system and substrate processing device
JP2015154083A (ja) * 2014-02-13 2015-08-24 ユ−ジーン テクノロジー カンパニー.リミテッド 基板搬送ロボット及びそれを用いた基板処理装置
KR20190139324A (ko) * 2017-06-09 2019-12-17 맷슨 테크놀로지, 인크. 균일성 제어를 이용하는 플라즈마 스트립 도구

Also Published As

Publication number Publication date
US5838121A (en) 1998-11-17

Similar Documents

Publication Publication Date Title
JP4555406B2 (ja) タンデム型処理室
US5909994A (en) Vertical dual loadlock chamber
JPH10275848A (ja) デュアル・ブレード・ロボット
US6082950A (en) Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5902088A (en) Single loadlock chamber with wafer cooling function
US5844195A (en) Remote plasma source
US6224312B1 (en) Optimal trajectory robot motion
US5911834A (en) Gas delivery system
JPH10154739A (ja) 超高スループット・ウェハ真空処理システム
JP4603633B2 (ja) 処理室排気システム
US5905302A (en) Loadlock cassette with wafer support rails
KR100267617B1 (ko) 진공처리장치 및 진공처리방법
US6176667B1 (en) Multideck wafer processing system
JP5214832B2 (ja) 基板処理装置
US6913652B2 (en) Gas flow division in a wafer processing system having multiple chambers
KR20010082111A (ko) Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스
US20030176074A1 (en) Tandem etch chamber plasma processing system
US20060240680A1 (en) Substrate processing platform allowing processing in different ambients
KR20200078774A (ko) 반송 유닛, 그를 포함하는 기판 처리 장치 및 기판 처리 방법
JPH0238571A (ja) 処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070619

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070919

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20070919

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070925

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071019

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080108