JPH10256368A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH10256368A
JPH10256368A JP5796497A JP5796497A JPH10256368A JP H10256368 A JPH10256368 A JP H10256368A JP 5796497 A JP5796497 A JP 5796497A JP 5796497 A JP5796497 A JP 5796497A JP H10256368 A JPH10256368 A JP H10256368A
Authority
JP
Japan
Prior art keywords
mask layer
contact hole
insulating film
semiconductor device
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP5796497A
Other languages
English (en)
Inventor
Tetsuji Nagayama
哲治 長山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP5796497A priority Critical patent/JPH10256368A/ja
Publication of JPH10256368A publication Critical patent/JPH10256368A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】配線のショートやエッチングストップの生じな
い、配線の信頼性を確保した微細なコンタクトを有する
半導体装置の製造方法を提供する。 【解決手段】半導体基板10上に絶縁膜22を形成する
工程と、絶縁膜22上に高融点金属を含有するマスク層
32を形成する工程と、マスク層32に第1コンタクト
ホールCH1を開口する工程と、第1コンタクトホール
CH1の内壁に高融点金属を含有し、第1コンタクトホ
ールの開口径を狭めるサイドウォールマスク層33aを
形成する工程と、サイドウォールマスク層33aをマス
クにして絶縁膜22に第2コンタクトホールCH2を開
口する工程とを有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造方
法に関し、特に微細なコンタクトを有する半導体装置の
製造方法に関する。
【0002】
【従来の技術】近年のVLSI等に見られるように半導
体装置の高集積化及び高性能化が進展するに伴い、酸化
シリコン(SiO2 )系材料層のドライエッチングにつ
いても技術的要素がますます厳しくなっている。
【0003】その中でも、コンタクトホール工程の位置
合わせのためのマスク上の設計余裕を不要にできる自己
整合コンタクト(Self Aligned Contact; 以下SACと
略)技術が注目されている。
【0004】このSAC技術の開発は、特に0.25μ
mルール以降の世代で活発化しており、その背景にはい
くつかの理由がある。一つは、露光機の性能による制限
であり、もう一つは、SACを使ってチップやセルの面
積を積極的に縮めることである。
【0005】特に前者は、最近発表された0.25μm
量産向け露光機において、配線層の微細化のトレンド維
持が困難になっていることを意味する。これは、ステッ
パの位置合わせバラつきの改善不足が原因となってお
り、位置合わせバラつきが大きいため位置合わせの設計
余裕が大きくなる。その結果、配線幅を太くするか、あ
るいはホール径が小さくなりずぎて開口出来ないなどの
問題が出てくる。0.3μmルールからこの兆候が見え
始めており、0.25〜0.2μmルールでは問題を回
避できない。
【0006】この位置合わせの設計余裕を不要にできる
と言われている技術がSACである。SACの形成法に
はいくつかあり、いずれも従来の露光だけを使った方法
に比べてプロセスが多少複雑になる欠点を持つのが一般
的である。しかし、将来的にその採用は不可欠であり、
SACに関して様々な研究がなされている。
【0007】但し、SACを実用化する方法には、薄い
Si3 4 上でエッチングを停止させるような難度の高
いエッチング技術をクリアすることが必要である。対S
34 高選択比プロセスとして、装置の放電方式によ
ってもやや異なるが、基本的にはCF系保護膜を使い、
SiO2 エッチング速度の劣化を高密度プロズマを使う
ことで防ぐ方法が考えられている。
【0008】しかしながら、SAC技術はトータルで見
るとまだ課題が多いと言わざるを得ない。そこで、従来
から知られているようなコンタクトホールを開口するた
めのマスクとなる層のコンタクトホール内壁にサイドウ
ォールを形成し、コンタクトホールの径を狭めて開口す
る方法が試みられている。
【0009】上記の方法を適用した半導体装置の製造方
法について、以下に説明する。まず、図8(a)に示す
ように、半導体基板10上に、ゲート酸化膜20を熱酸
化で形成した後、ポリシリコンを例えば減圧CVD法で
100nm堆積させ、さらにタングステンシリサイドを
例えばプラズマCVD法で100nm堆積させ、エキシ
マステッパーを用いて0.30μm幅の所望のゲートパ
ターンに加工して、ポリシリコンゲート31a及びシリ
サイドゲート31bのポリサイドからなるゲート電極3
1を形成する。さらに、ゲート電極31の側部に、LD
Dサイドウォール絶縁膜21を形成する。
【0010】次に、図8(b)に示すように、ゲート電
極31を被覆して酸化シリコンを例えば常圧CVD法に
よって500nm堆積させ、リフローあるいはエッチバ
ックにより平坦化して絶縁膜22を形成した後、例えば
ポリシリコンを減圧CVD法で250nm堆積させてマ
スク層35を形成する。
【0011】次に、図8(c)に示すように、エキシマ
ステッパーによりフォトレジストRの0.3μm径のコ
ンタクトホールパターンを形成する。図8(c)は、フ
ォトレジスト形成の際にコンタクトホールパターンが図
面上右方向に約0.05μmずれを生じた場合を示して
いる。その後、例えば図6に示すECRタイプのエッチ
ング装置にてエッチングを行い、絶縁膜22を露出させ
る第1コンタクトホールCH1をマスク層35に形成す
る。
【0012】次に、図9(d)に示すように、例えばポ
リシリコンを減圧CVD法にてウェハ全面に100nm
堆積させて、サイドウォールマスク用層36を形成す
る。
【0013】次に、図9(e)に示すように、例えば図
6に示すECRタイプのエッチング装置にてエッチバッ
クを行い、ポリシリコンのサイドウォールマスク層36
aを形成し、コンタクトホールの開口径を狭める。
【0014】この後は、開口径の狭められたサイドウォ
ールマスク層36aをマスクにしてエッチングを行い、
半導体基板10を露出させる第2コンタクトホールを絶
縁膜22に開口し、次にポリシリコンなどの導電性材料
をコンタクトホール内に埋め込んでコンタクトを形成す
る。
【0015】上記の方法によれば、前述のSACと異な
り、対Si3 4 高選択比条件等の新規プロセスが不要
で、マイクロローディング効果を注意深くクリアしてい
くという従来からのアプローチを適用することで、0.
1μmφ程度の極微細・高アスペクト比のコンタクトホ
ールの開口を達成することができる。
【0016】
【発明が解決しようとする課題】しかしながら、この技
術を用いてコンタクトホールを開口した場合、図9
(f)に示すように絶縁膜22への第2コンタクトホー
ルCH2のエッチング開口工程において酸化シリコンに
対してポリシリコンの選択比を取るエッチング条件にし
ているが、ポリシリコンもエッチングされてしまうの
で、マスク層35は薄膜化し、サイドウォールマスク層
36aは後退し、第2コンタクトホールCH2は開口径
の拡大を引き起こすことがあった。特に図9(f)のよ
うに合わせずれが大きい場合にはコンタクトホール内に
ゲート電極31が露出し、部位Sにおいて配線のショー
トを引き起こすということがあった。
【0017】また、上記のようにマスク層の薄膜化やサ
イドウォールマスク層の後退を防ぐ目的で、図10
(a)に示すようにマスク層を厚膜化する方法もある
が、この場合には図10(b)に示すようにコンタクト
ホールの開口のためのエッチングイオンの入射が制限さ
れ、最悪の場合にはエッチングストップESが生じ、コ
ンタクトホールが開口できなくなる。
【0018】本発明は上記の問題点に鑑みてなされたも
のであり、従って、本発明の目的は、コンタクトホール
内壁にサイドウォールを形成し、コンタクトホールの開
口径を狭めて開口する方法において、マスク層の薄膜化
及びサイドウォールマスク層の後退を抑制し、配線のシ
ョートやエッチングストップの生じない、配線の信頼性
を確保した微細なコンタクトを有する半導体装置の製造
方法を提供することである。
【0019】
【課題を解決するための手段】上記の目的を達成するた
め、本発明の半導体装置の製造方法は、半導体基板上に
絶縁膜を形成する工程と、前記絶縁膜上に高融点金属を
含有するマスク層を形成する工程と、前記マスク層に第
1コンタクトホールを開口する工程と、前記第1コンタ
クトホールの内壁に高融点金属を含有し、第1コンタク
トホールの開口径を狭めるサイドウォールマスク層を形
成する工程と、前記サイドウォールマスク層をマスクに
して前記絶縁膜に第2コンタクトホールを開口する工程
とを有する。
【0020】上記の本発明の半導体装置の製造方法にお
いては、マスク層及びサイドウォールマスク層を高融点
金属を含有させて形成する。高融点金属を含有した層
は、従来マスク層に用いられたポリシリコンに比べて絶
縁膜の酸化シリコンに対するエッチング選択比を高く取
ることができ、マスク層の薄膜化及びサイドウォールマ
スク層の後退を抑制することができるので、半導体基板
を露出させるコンタクトホールを絶縁膜に安定して開口
することができる。サイドウォールマスク層が後退しな
いのでコンタクトホールの開口径が拡大せず、ゲート電
極などの絶縁膜中の配線が露出するのを避けることがで
きる。
【0021】上記の本発明の半導体装置の製造方法にお
いては、コンタクトホールエッチング時の高い選択比を
利用して、コンタクトホールのエッチングにおけるマス
ク層の薄膜化及びサイドウォールマスク層の後退を抑制
することができる。従って、マスク層及びサイドウォー
ルマスク層を予め薄膜の設計できるので、厚膜化した時
に起きるようなエッチストップなどの不都合を回避する
ことができる。
【0022】上記の高融点金属を含有する層としては、
高融点金属シリサイドを含有する層とすることができ、
例えば、タングステンシリサイド、チタンシリサイドを
含有する層を好ましく用いることができる。
【0023】また、サイドウォールマスク層における高
融点金属シリサイド層は、薄膜化による後退がなおも懸
念されるが、エッチング時の高選択比という効果と、ス
テップカバレッジの関係で、スパッタ工程あるいはCV
D工程においてややオーバーハング状に堆積する性質が
相まってコンタクトホール径の拡大を防ぐ事ができる。
【0024】上記の本発明の半導体装置の製造方法は、
好適には、前記マスク層をポリシリコンと高融点金属シ
リサイドの積層体であるポリサイドにより形成する。マ
スク層形成の際、マスクとなる層における高融点金属シ
リサイド層は、通常絶縁膜に用いられる酸化シリコンか
らなる層の上層に直接形成すると剥がれてしまう事があ
るが、ポリシリコン層を挟んでポリサイド構造とするこ
とで酸化シリコン層の上層にはポリシリコン層が形成さ
れ、その上層にシリサイド層を形成するので、上記の問
題を回避することができる。
【0025】上記の本発明の半導体装置の製造方法は、
好適には、前記サイドウォールマスク層を形成する工程
の後、前記第2コンタクトホールの開口工程の前に前記
マスク層及び前記サイドウォールマスク層を上面から研
磨する工程を有する。マスク層及びサイドウォールマス
ク層を上面から研磨することによりサイドウォールマス
ク層の形状をより矩形に近づけることができ、絶縁膜に
対するコンタクトホールのエッチングにおいてサイドウ
ォールマスク層が後退してコンタクトホールの開口径が
拡大するのを抑制することができる。上記の研磨の方法
としては、例えばCMP(Chemical Mechanical Polish
ing )を用いることができる。
【0026】上記の本発明の半導体装置の製造方法は、
好適には、前記マスク層及び前記サイドウォールマスク
層をパターン加工して上層配線とする工程を有する。マ
スク層として用いた高融点金属シリサイド層は、そのま
ま上層配線として用いることができる。これにより、工
程数を削減することが可能である。この場合、高融点金
属シリサイド層を含むマスク層の膜厚は、予め上層配線
とするのに必要十分な膜厚値を設定しておけばよい。
【0027】上記の本発明の半導体装置の製造方法は、
好適には、前記第2コンタクトホールの開口工程が低圧
高密度のプラズマエッチングにより開口する工程であ
る。コンタクトホールの開口には、従来タイプのプラズ
マ処理装置でも原理的に可能であるが、開口径の高精度
制御や高アスペクトホール開口という観点では、最近注
目されている低圧・高密度プラズマ発生のエッチング装
置の使用が望ましい。低圧高密度プラズマにおいては、
放電空間に電場を誘起させてプラズマ中の自由電子を加
速し、その結果生じる高エネルギー電子によって中性ガ
スを電離し、高密度のプラズマを得る。低圧のエッチン
グ室において高密度のプラズマを発生させると、基板表
面近傍に形成されるイオンシース中でイオンが、他のイ
オンや中性ガス粒子と衝突する確率が小さくなるため、
イオンの直進性が高まり、また電離度が高いためにイオ
ン対中性ラジカルの比が大きくとれ、エッチングの異方
性を高めることができる。低圧高密度のプラズマ源とし
ては、ECR(Electron Cyclotron Resonance)タイ
プ、ICP(Inductively Coupled Plasma)タイプ、ヘ
リコン波プラズマタイプを好ましく用いることができ
る。
【0028】さらに上記の目的を達成するため、本発明
の半導体装置の製造方法は、半導体基板上に絶縁膜を形
成する工程と、前記絶縁膜上に高融点金属を含有するマ
スク層を形成する工程と、前記マスク層に第1コンタク
トホールを開口する工程と、前記第1コンタクトホール
の内壁に第1コンタクトホールの開口径を狭めるサイド
ウォールマスク層を形成する工程と、前記サイドウォー
ルマスク層をマスクにして前記絶縁膜に第2コンタクト
ホールを開口する工程とを有する。上記の本発明の半導
体装置の製造方法と同様、マスク層の薄膜化を抑制する
ことができるので、半導体基板を露出させるコンタクト
ホールを絶縁膜に安定して開口することができる。
【0029】さらに上記の目的を達成するため、本発明
の半導体装置の製造方法は、半導体基板上に絶縁膜を形
成する工程と、前記絶縁膜上にマスク層を形成する工程
と、前記マスク層に第1コンタクトホールを開口する工
程と、前記第1コンタクトホールの内壁に高融点金属を
含有し、第1コンタクトホールの開口径を狭めるサイド
ウォールマスク層を形成する工程と、前記サイドウォー
ルマスク層をマスクにして前記絶縁膜に第2コンタクト
ホールを開口する工程とを有する。上記の本発明の半導
体装置の製造方法と同様、サイドウォールマスク層の後
退を抑制することができるので、半導体基板を露出させ
るコンタクトホールを絶縁膜に安定して開口することが
できる。
【0030】
【発明の実施の形態】以下に、本発明の実施の形態につ
いて、実施例により図面を参照して説明する。
【0031】実施例1 本実施例の半導体装置の製造方法により製造した半導体
装置の断面図を図1に示す。半導体基板10上にゲート
絶縁膜20を介して例えばポリシリコンゲート31a及
びタングステンシリサイドゲート31bからなるポリサ
イドのゲート電極31が形成され、その側部に例えば酸
化シリコンのサイドウォール絶縁膜21が形成されてい
る。ゲート電極31の両側部の半導体基板中には、図示
しないソースドレイン拡散層が形成されている。ゲート
電極31を例えば酸化シリコンからなる絶縁膜22が被
覆しており、その上層に例えばポリシリコンの第1上層
配線32a及びタングステンシリサイドの第2上層配線
32bからなるポリサイドの上層配線32が形成されて
いる。絶縁膜22には半導体基板10中のソースドレイ
ン拡散層に達するコンタクトホールが開口されており、
コンタクトホール内に埋め込み配線層34が埋め込まれ
ており、上層配線32とソースドレイン拡散層を接続し
ている。
【0032】かかる半導体装置は、マスク層の膜厚の薄
膜化が抑制されており、配線のショートやエッチストッ
プなどの生じていない、配線の信頼性を確保した微細な
コンタクトを有する半導体装置である。
【0033】以下に、上記の本実施例の半導体装置の製
造方法について説明する。まず、図2(a)に示すよう
に、シリコン半導体基板10上に、ゲート絶縁膜20を
熱酸化で形成した後、例えばポリシリコンを減圧CVD
法で100nm堆積させ、その上層に例えばタングステ
ンシリサイドをプラズマCVD法で100nm堆積させ
る。
【0034】次に、エキシマステッパーを用いて0.3
0μm幅の所望のゲートパターンに(エッチャー:EC
Rタイプ、ガス:Cl2 /O2 =75/6SCCM、圧
力:0.4Pa、μ波出力(2.45GHz):120
0W、RFバイアス(800kHz):70W(タング
ステンシリサイド層)→50W(ポリシリコン層)、ウ
ェハ温度:20℃、オーバーエッチ:40%)の装置及
び条件で加工し、ポリシリコンゲート31a及びシリサ
イドゲート31bのポリサイドからなるゲート電極31
を形成する。
【0035】図6は、上記のエッチング工程において使
用するRFバイアス印加型ECRプラズマエッチング装
置を示している。これは、マグネトロン61で発生した
マイクロ波が、導波管62及び石英ベルジャー63を介
してウェハステージ67上のウェハ65に到達する構成
になっている。
【0036】また、図示していないが、上記のエッチン
グ装置において、高周波電源68を具備したウェハステ
ージ67は温度制御用の冷媒(例えば商品名フロリナー
ト)が循環した構造となっており、さらに単極式静電チ
ャックが設置されているものとする。
【0037】次に、例えば酸化シリコンを全面にCVD
法により堆積させ、(エッチャー:アノードカップル平
行平板型、ガス:CHF3 /CF4 /Ar=40/40
/800SCCM、圧力:200Pa、RFバイアス:
500W(380kHz)、ウェハ温度:50℃、オー
バーエッチ:5%)の装置及び条件でエッチバックし
て、LDDサイドウォール絶縁膜21を形成する。
【0038】次に、図2(b)に示すように、例えば酸
化シリコンを例えば常圧CVD法によってゲート電極3
1を被覆して500nm堆積させ、リフローあるいはエ
ッチバックなどにより平坦化して絶縁膜22を形成した
後、例えばポリシリコンを減圧CVD法で50nm堆積
させ、さらにその上層に例えばタングステンシリサイド
をプラズマCVD法によって70nm堆積させ、下側マ
スク層32a及び上側マスク層32bからなるマスク層
32を形成する。
【0039】次に、図2(c)に示すように、エキシマ
ステッパーによりフォトレジストRの0.3μm径のコ
ンタクトホールパターンを形成するする。図2(c)
は、フォトレジスト形成の際に、コンタクトホールパタ
ーンが図面上右方向に約0.05μmずれを生じた場合
を示している。その後、例えば、図6のECRタイプの
エッチング装置にて、(ガス:Cl2 /O2 =75/8
SCCM、圧力:0.4Pa、μ波出力(2.45GH
z):1200W、RFバイアス(800kHz):7
0W、ウェハ温度:20℃、オーバーエッチ:50%)
の条件でマスク層32のエッチングを行い、絶縁膜22
を露出させる第1コンタクトホールCH1をマスク層3
2に開口する。
【0040】次に、図3(d)に示すように、例えばタ
ングステンシリサイドをプラズマCVD法にてマスク層
32及び第1コンタクトホールCH1内を全面に被覆し
て100nm堆積させ、サイドウォールマスク用層33
を形成する。
【0041】次に、図3(e)に示すように、図6のE
CRタイプのエッチング装置にて、(ガス:Cl2 /O
2 =75/15SCCM、圧力:0.4Pa、μ波出力
(2.45GHz):1400W、RFバイアス(80
0kHz):30W、ウェハ温度:20℃、オーバーエ
ッチ:5%)の条件でエッチバックを行い、サイドウォ
ールマスク層33aを形成する。この時、全面エッチバ
ック時のO2 の流量比を高め、WOClX の生成を促進
することにより、肩落ちの少ない矩形に近いサイドウォ
ールマスク層33aが形成される。サイドウォールマス
ク層33aの形成により、コンタクトホールの径を約
0.1μmに狭めることができる。
【0042】次に、図4(f)に示すように、市販のマ
グネトロンタイプのエッチング装置にて、(ガス:C4
8 /CO/Ar=5/100/300SCCM、圧
力:5.0Pa、RFバイアス(13.56MHz):
1500W、ウェハ温度:20℃、オーバーエッチ:5
0%)の条件でエッチングを行い、絶縁膜22を貫通し
て半導体基板10を露出させる第2コンタクトホールC
H2を開口する。この時、従来ならマスク径が拡大し絶
縁膜22中の開口がテーパ形状となるため配線ショート
をひき起こすところだが、絶縁膜の酸化シリコンとマス
クのタングステンシリサイドとの選択比は約30であっ
たため、エッチング中を通してマスク径が約0.1μm
を保ち垂直形状のコンタクトホールを開口できる。本実
施例では、約0.05μmの合わせずれが生じたにもか
かわらず配線ショートは全く発生しない。
【0043】次に、フッ酸系の前処理のよりコンタクト
ホール底部の自然酸化膜を除去した後、図4(g)に示
すように、例えばポリシリコンを減圧CVD法により第
2コンタクトホールCH2内を埋め込んでマスク層上面
を全面に300nm堆積させ、埋め込み配線層34を形
成する。
【0044】次に、図1に示すように、例えば図6のE
CRタイプのエッチング装置にて、(ガス:Cl2 =1
00SCCM、圧力:0.4Pa、μ波出力(2.45
GHz):1200W、RFバイアス(800kH
z):50W、ウェハ温度:20℃、オーバーエッチ:
5%)の条件で全面にエッチバックを行い、第2コンタ
クトホールCH2内に埋め込まれ、マスク層32及び図
示しないソースドレイン拡散層に接続する埋め込み配線
層34を形成する。次に、マスク層32をパターニング
加工することによりポリシリコンの第1上層配線32a
及びタングステンシリサイドの第2上層配線32bから
なるポリサイドの上層配線32として利用し、図1に示
す半導体装置を形成する。
【0045】上記のポリシリコン層の全面エッチバック
時にはO2 の流量比を下げ、タングステンシリサイドで
ある上側マスク層32b上に塩化タングステン系の堆積
物の生成を促進することで、結果的に選択比3にて上側
マスク層32b上でエッチングが停止する。
【0046】以上のように、本実施例により耐圧特性は
降伏電圧50V以上を達成でき、マスク層の薄膜化及び
サイドウォールマスク層の後退を抑制し、配線のショー
トやエッチングストップの生じない、配線の信頼性を確
保した微細なコンタクトを有する半導体装置の製造する
ことができる。
【0047】実施例2 本実施例の半導体装置の製造方法により製造した半導体
装置の断面図を図1に示す。半導体基板10上にゲート
絶縁膜20を介して例えばポリシリコンゲート31a及
びタングステンシリサイドゲート31bからなるポリサ
イドのゲート電極31が形成され、その側部に例えば酸
化シリコンのサイドウォール絶縁膜21が形成されてい
る。ゲート電極31の両側部の半導体基板中には、図示
しないソースドレイン拡散層が形成されている。ゲート
電極31を例えば酸化シリコンからなる絶縁膜22が被
覆しており、その上層に例えばポリシリコンの第1上層
配線32a及びチタンシリサイドの第2上層配線32b
からなるポリサイドの上層配線32が形成されている。
絶縁膜22には半導体基板10中のソースドレイン拡散
層に達するコンタクトホールが開口されており、コンタ
クトホール内に埋め込み配線層34が埋め込まれてお
り、上層配線32とソースドレイン拡散層を接続してい
る。
【0048】かかる半導体装置は、マスク層の膜厚の薄
膜化が抑制されており、配線のショートやエッチストッ
プなどの生じていない、配線の信頼性を確保した微細な
コンタクトを有する半導体装置である。
【0049】以下に、上記の本実施例の半導体装置の製
造方法について説明する。まず、図2(a)に示すよう
に、シリコン半導体基板10上に、ゲート絶縁膜20を
熱酸化で形成した後、例えばポリシリコンを減圧CVD
法で100nm堆積させ、その上層に例えばタングステ
ンシリサイドをプラズマCVD法で100nm堆積させ
る。
【0050】次に、エキシマステッパーを用いて0.3
0μm幅の所望のゲートパターンに(エッチャー:EC
Rタイプ、ガス:Cl2 /O2 =75/6SCCM、圧
力:0.4Pa、μ波出力(2.45GHz):120
0W、RFバイアス(800kHz):70W(タング
ステンシリサイド層)→50W(ポリシリコン層)、ウ
ェハ温度:20℃、オーバーエッチ:40%)の装置及
び条件で加工し、ポリシリコンゲート31a及びシリサ
イドゲート31bのポリサイドからなるゲート電極31
を形成する。
【0051】次に、例えば酸化シリコンを全面にCVD
法により堆積させ、(エッチャー:アノードカップル平
行平板型、ガス:CHF3 /CF4 /Ar=40/40
/800SCCM、圧力:200Pa、RFバイアス:
500W(380kHz)、ウェハ温度:50℃、オー
バーエッチ:5%)の装置及び条件でエッチバックし
て、LDDサイドウォール絶縁膜21を形成する。
【0052】次に、図2(b)に示すように、例えば酸
化シリコンを例えば常圧CVD法によってゲート電極3
1を被覆して500nm堆積させ、リフローあるいはエ
ッチバックなどにより平坦化して絶縁膜22を形成した
後、例えばポリシリコンを減圧CVD法で50nm堆積
させて、さらにその上層に例えばチタンシリサイドをス
パッタ法によって70nm堆積させ、下側マスク層32
a及び上側マスク層32bからなるマスク層32を形成
する。
【0053】次に、図2(c)に示すように、エキシマ
ステッパーによりフォトレジストRの0.3μm径のコ
ンタクトホールパターンを形成する。図2(c)は、フ
ォトレジスト形成の際に、コンタクトホールパターンが
図面上右方向に約0.05μmずれを生じた場合を示し
ている。その後、例えば、図6のECRタイプのエッチ
ング装置にて、(ガス:Cl2 /O2 =75/6SCC
M、圧力:0.4Pa、μ波出力(2.45GHz):
1200W、RFバイアス(800kHz):70W、
ウェハ温度:20℃、オーバーエッチ:50%)の条件
でマスク層32のエッチングを行い、絶縁膜22を露出
させる第1コンタクトホールCH1をマスク層32に開
口する。
【0054】次に、図3(d)に示すように、例えばチ
タンシリサイドをスパッタ法にてマスク層32及び第1
コンタクトホールCH1内を全面に被覆して120nm
堆積させ、サイドウォールマスク用層33を形成する。
【0055】次に、図3(e)に示すように、図6のE
CRタイプのエッチング装置にて、(ガス:Cl2 =7
5SCCM、圧力:0.4Pa、μ波出力(2.45G
Hz):1400W、RFバイアス(800kHz):
40W、ウェハ温度:20℃、オーバーエッチ:5%)
の条件でエッチバックを行い、サイドウォールマスク層
33aを形成する。この時、全面エッチバック時の基板
バイアスの出力を下げることにより、肩落ちの少ない矩
形に近いサイドウォールマスク層33aが形成される。
サイドウォールマスク層33aの形成により、コンタク
トホールの径を約0.1μmに狭めることができる。
【0056】次に、図4(f)に示すように、図6のE
CRタイプのプラズマエッチング装置にて、(ガス:C
4 8 /Ar=50/300SCCM、圧力:0.3P
a、μ波出力(2.45GHz):1400W、RFバ
イアス(800kHz):300W、ウェハ温度:20
℃、オーバーエッチ:20%)の条件でエッチングを行
い、絶縁膜22を貫通して半導体基板10を露出させる
第2コンタクトホールCH2を開口する。この時、従来
ならマスク径が拡大し絶縁膜22中の開口がテーパ形状
となるため配線ショートをひき起こすところだが、絶縁
膜の酸化シリコンとマスクのチタンシリサイドとの選択
比は約30であったため、エッチング中を通してマスク
径が約0.1μmを保ち垂直形状のコンタクトホールを
開口できる。本実施例では、約0.05μmの合わせず
れが生じたにもかかわらず配線ショートは全く発生しな
い。
【0057】次に、フッ酸系の前処理のよりコンタクト
ホール底部の自然酸化膜を除去した後、図4(g)に示
すように、例えばポリシリコンを減圧CVD法により第
2コンタクトホールCH2内を埋め込んでマスク層上面
を全面に300nm堆積させ、埋め込み配線層34を形
成する。
【0058】次に、図1に示すように、例えば図6のE
CRタイプのエッチング装置にて、(ガス:SF6 /C
2 =50/30SCCM、圧力:0.4Pa、μ波出
力(2.45GHz):1000W、RFバイアス(8
00kHz):30W、ウェハ温度:20℃、オーバー
エッチ:5%)の条件で全面にエッチバックを行い、第
2コンタクトホールCH2内に埋め込まれ、マスク層3
2及び図示しないソースドレイン拡散層に接続する埋め
込み配線層34を形成する。次に、マスク層32をパタ
ーニング加工することによりポリシリコンの第1上層配
線32a及びチタンシリサイドの第2上層配線32bか
らなるポリサイドの上層配線32として利用し、図1に
示す半導体装置を形成する。
【0059】上記のポリシリコン層の全面エッチバック
時にはSF6 の流量比を上げ、チタンシリサイドである
上側マスク層32b上にフッ化チタン系の堆積物の生成
を促進することで、結果的に選択比3にて上側マスク層
32b上でエッチングが停止する。
【0060】以上のように、本実施例により耐圧特性は
降伏電圧50V以上を達成でき、マスク層の薄膜化及び
サイドウォールマスク層の後退を抑制し、配線のショー
トやエッチングストップの生じない、配線の信頼性を確
保した微細なコンタクトを有する半導体装置の製造する
ことができる。
【0061】実施例3 本実施例の半導体装置の製造方法により製造した半導体
装置の断面図を図1に示す。半導体基板10上にゲート
絶縁膜20を介して例えばポリシリコンゲート31a及
びタングステンシリサイドゲート31bからなるポリサ
イドのゲート電極31が形成され、その側部に例えば酸
化シリコンのサイドウォール絶縁膜21が形成されてい
る。ゲート電極31の両側部の半導体基板中には、図示
しないソースドレイン拡散層が形成されている。ゲート
電極31を例えば酸化シリコンからなる絶縁膜22が被
覆しており、その上層に例えばポリシリコンの第1上層
配線32a及びタングステンシリサイドの第2上層配線
32bからなるポリサイドの上層配線32が形成されて
いる。絶縁膜22には半導体基板10中のソースドレイ
ン拡散層に達するコンタクトホールが開口されており、
コンタクトホール内に埋め込み配線層34が埋め込まれ
ており、上層配線32とソースドレイン拡散層を接続し
ている。
【0062】かかる半導体装置は、マスク層の膜厚の薄
膜化が抑制されており、配線のショートやエッチストッ
プなどの生じていない、配線の信頼性を確保した微細な
コンタクトを有する半導体装置である。
【0063】以下に、上記の本実施例の半導体装置の製
造方法について説明する。まず、図2(a)に示すよう
に、シリコン半導体基板10上に、ゲート絶縁膜20を
熱酸化で形成した後、例えばポリシリコンを減圧CVD
法で100nm堆積させ、その上層に例えばタングステ
ンシリサイドをプラズマCVD法で100nm堆積させ
る。
【0064】次に、エキシマステッパーを用いて0.3
0μm幅の所望のゲートパターンに(エッチャー:IC
Pタイプ、ガス:Cl2 /O2 =100/5SCCM、
圧力:0.4Pa、ソース出力(2MHz):1500
W、RFバイアス(1.8MHz):70W(タングス
テンシリサイド層)→50W(ポリシリコン層)、ウェ
ハ温度:20℃、上部プレート温度:150℃、オーバ
ーエッチ:30%)の装置及び条件で加工し、ポリシリ
コンゲート31a及びシリサイドゲート31bのポリサ
イドからなるゲート電極31を形成する。
【0065】図7(a)は、上記のエッチング工程で使
用する誘導結合プラズマ(ICP)タイプのエッチング
装置を示している。これは、高周波電源68からチェン
バー側壁に巻かれた誘導結合コイル70に2MHzのR
Fを印加し高密度プラズマを形成する機構となってい
る。
【0066】また、図示していないが、上記のエッチン
グ装置において、高周波電源68を具備したウェハステ
ージ67は温度制御用の冷媒(例えば商品名フロリナー
ト)が循環した構造となっており、さらに単極式静電チ
ャックが設置されているものとする。
【0067】次に、例えば酸化シリコンを全面にCVD
法により堆積させ、(エッチャー:アノードカップル平
行平板型、ガス:CHF3 /CF4 /Ar=40/40
/800SCCM、圧力:200Pa、RFバイアス:
500W(380kHz)、ウェハ温度:50℃、オー
バーエッチ:5%)の装置及び条件でエッチバックし
て、LDDサイドウォール絶縁膜21を形成する。
【0068】次に、図2(b)に示すように、例えば酸
化シリコンを例えば常圧CVD法によってゲート電極3
1を被覆して500nm堆積させ、リフローあるいはエ
ッチバックなどにより平坦化して絶縁膜22を形成した
後、例えばポリシリコンを減圧CVD法で50nm堆積
させて、さらにその上層に例えばタングステンシリサイ
ドをプラズマCVD法によって70nm堆積させ、下側
マスク層32a及び上側マスク層32bからなるマスク
層32を形成する。
【0069】次に、図2(c)に示すように、エキシマ
ステッパーによりフォトレジストRの0.3μm径のコ
ンタクトホールパターンを形成する。図2(c)は、フ
ォトレジスト形成の際に、コンタクトホールパターンが
図面上右方向に約0.05μmずれを生じた場合を示し
ている。その後、例えば、図7(a)のICPタイプの
エッチング装置にて、(ガス:Cl2 /O2 =100/
10SCCM、圧力:0.4Pa、ソース出力(2MH
z):1500W、RFバイアス(1.8MHz):7
0W(タングステンシリサイド層)→50W(ポリシリ
コン層)、ウェハ温度:20℃、上部プレート温度:1
50℃、オーバーエッチ:30%)の条件でマスク層3
2のエッチングを行い、絶縁膜22を露出させる第1コ
ンタクトホールCH1をマスク層32に開口する。
【0070】次に、図3(d)に示すように、例えばタ
ングステンシリサイドをプラズマCVD法にてマスク層
32及び第1コンタクトホールCH1内を全面に被覆し
て100nm堆積させ、サイドウォールマスク用層33
を形成する。
【0071】次に、図3(e)に示すように、図7
(a)のICPタイプのエッチング装置にて、(ガス:
Cl2 /O2 =100/20SCCM、圧力:0.4P
a、ソース出力(2MHz):1500W、RFバイア
ス(1.8MHz):70W(ステップ1)→30W
(ステップ2)、ウェハ温度:20℃、上部プレート温
度:150℃、オーバーエッチ:30%)の条件でエッ
チバックを行い、サイドウォールマスク層33aを形成
する。この時、全面エッチバック時のO2 の流量比を高
め、WOClX の生成を促進することにより、肩落ちが
少ない矩形に近いサイドウォールマスク層33aが形成
される。サイドウォールマスク層33aの形成により、
コンタクトホールの径を約0.1μmに狭めることがで
きる。
【0072】次に、図4(f)に示すように、図7
(a)に示すICPタイプのプラズマエッチング装置に
て、(ガス:CHF3 /Ar=100/300SCC
M、圧力:0.4Pa、ソース出力(2MHz):15
00W、RFバイアス(1.8MHz):100W、ウ
ェハ温度:20℃、上部プレート温度:150℃、オー
バーエッチ:20%)の条件でエッチングを行い、絶縁
膜22を貫通して半導体基板10を露出させる第2コン
タクトホールCH2を開口する。この時、従来ならマス
ク径が拡大し絶縁膜22中の開口がテーパ形状となるた
め配線ショートをひき起こすところだが、絶縁膜の酸化
シリコンとマスクのタングステンシリサイドとの選択比
は約30であったため、エッチング中を通してマスク径
が約0.1μmを保ち垂直形状のコンタクトホールを開
口できる。本実施例では、約0.05μmの合わせずれ
が生じたにもかかわらず配線ショートは全く発生しな
い。
【0073】次に、フッ酸系の前処理のよりコンタクト
ホール底部の自然酸化膜を除去した後、図4(g)に示
すように、例えばポリシリコンを減圧CVD法により第
2コンタクトホールCH2内を埋め込んでマスク層上面
を全面に300nm堆積させ、埋め込み配線層34を形
成する。
【0074】次に、図1に示すように、例えば図7
(a)のICPタイプのエッチング装置にて、(ガス:
Cl2 =100SCCM、圧力:0.4Pa、ソース出
力(2MHz):1000W、RFバイアス(1.8M
Hz):50W、ウェハ温度:20℃、上部プレート温
度:150℃、オーバーエッチ:5%)の条件で全面に
エッチバックを行い、第2コンタクトホールCH2内に
埋め込まれ、マスク層32及び図示しないソースドレイ
ン拡散層に接続する埋め込み配線層34を形成する。次
に、マスク層32をパターニング加工することによりポ
リシリコンの第1上層配線32a及びタングステンシリ
サイドの第2上層配線32bからなるポリサイドの上層
配線32として利用し、図1に示す半導体装置を形成す
る。
【0075】上記のポリシリコン層の全面エッチバック
時にはO2 の流量比を下げ、タングステンシリサイドで
ある上側マスク層32b上に塩化タングステン系の堆積
物の生成を促進することで、結果的に選択比3にて上側
マスク層32b上でエッチングが停止する。
【0076】以上のように、本実施例により耐圧特性は
降伏電圧50V以上を達成でき、マスク層の薄膜化及び
サイドウォールマスク層の後退を抑制し、配線のショー
トやエッチングストップの生じない、配線の信頼性を確
保した微細なコンタクトを有する半導体装置の製造する
ことができる。
【0077】実施例4 本実施例の半導体装置の製造方法により製造した半導体
装置の断面図を図1に示す。半導体基板10上にゲート
絶縁膜20を介して例えばポリシリコンゲート31a及
びタングステンシリサイドゲート31bからなるポリサ
イドのゲート電極31が形成され、その側部に例えば酸
化シリコンのサイドウォール絶縁膜21が形成されてい
る。ゲート電極31の両側部の半導体基板中には、図示
しないソースドレイン拡散層が形成されている。ゲート
電極31を例えば酸化シリコンからなる絶縁膜22が被
覆しており、その上層に例えばポリシリコンの第1上層
配線32a及びチタンシリサイドの第2上層配線32b
からなるポリサイドの上層配線32が形成されている。
絶縁膜22には半導体基板10中のソースドレイン拡散
層に達するコンタクトホールが開口されており、コンタ
クトホール内に埋め込み配線層34が埋め込まれてお
り、上層配線32とソースドレイン拡散層を接続してい
る。
【0078】かかる半導体装置は、マスク層の膜厚の薄
膜化が抑制されており、配線のショートやエッチストッ
プなどの生じていない、配線の信頼性を確保した微細な
コンタクトを有する半導体装置である。
【0079】以下に、上記の本実施例の半導体装置の製
造方法について説明する。まず、図2(a)に示すよう
に、シリコン半導体基板10上に、ゲート絶縁膜20を
熱酸化で形成した後、例えばポリシリコンを減圧CVD
法で100nm堆積させ、その上層に例えばタングステ
ンシリサイドをプラズマCVD法で100nm堆積させ
る。
【0080】次に、エキシマステッパーを用いて0.3
0μm幅の所望のゲートパターンに(エッチャー:ヘリ
コン波プラズマタイプ、ガス:Cl2 /O2 =50/2
SCCM、圧力:0.3Pa、ソース出力(13.56
MHz):1200W、RFバイアス(13.56MH
z):70W(タングステンシリサイド層)→40W
(ポリシリコン層)、ウェハ温度:20℃、オーバーエ
ッチ:40%)の装置及び条件で加工し、ポリシリコン
ゲート31a及びシリサイドゲート31bのポリサイド
からなるゲート電極31を形成する。
【0081】図7(b)は、上記のエッチング工程で使
用するヘイコン波プラズマタイプのエッチング装置を示
している。これは、ソース電源72によりアンテナ73
にRF(13.56MHz)を印加すると、ソレノイド
コイル64により形成された磁場との相互作用でソース
チェンバー74内にホイッスラー波(ヘイコン波)が発
生し、結果的に生じた高密度プラズマがウェハ65に達
する機構となっている。
【0082】また、図示していないが、上記のエッチン
グ装置において、高周波電源68を具備したウェハステ
ージ67は温度制御用の冷媒(例えば商品名フロリナー
ト)が循環した構造となっており、さらに単極式静電チ
ャックが設置されているものとする。
【0083】次に、例えば酸化シリコンを全面にCVD
法により堆積させ、(エッチャー:アノードカップル平
行平板型、ガス:CHF3 /CF4 /Ar=40/40
/800SCCM、圧力:200Pa、RFバイアス:
500W(380kHz)、ウェハ温度:50℃、オー
バーエッチ:5%)の装置及び条件でエッチバックし
て、LDDサイドウォール絶縁膜21を形成する。
【0084】次に、図2(b)に示すように、例えば酸
化シリコンを例えば常圧CVD法によってゲート電極3
1を被覆して500nm堆積させ、リフローあるいはエ
ッチバックなどにより平坦化して絶縁膜22を形成した
後、例えばポリシリコンを減圧CVD法で50nm堆積
させて、さらにその上層に例えばチタンシリサイドをス
パッタ法によって70nm堆積させ、下側マスク層32
a及び上側マスク層32bからなるマスク層32を形成
する。
【0085】次に、図2(c)に示すように、エキシマ
ステッパーによりフォトレジストRの0.3μm径のコ
ンタクトホールパターンを形成する。図2(c)は、フ
ォトレジスト形成の際に、コンタクトホールパターンが
図面上右方向に約0.05μmずれを生じた場合を示し
ている。その後、例えば、図7(b)のヘリコン波プラ
ズマタイプのエッチング装置にて、(ガス:Cl2 /O
2 =50/5SCCM、圧力:0.3Pa、ソース出力
(13.56MHz):1200W、RFバイアス(1
3.56MHz):70W(チタンシリサイド層)→4
0W(ポリシリコン層)、ウェハ温度:20℃、オーバ
ーエッチ:40%)の条件でマスク層32のエッチング
を行い、絶縁膜22を露出させる第1コンタクトホール
CH1をマスク層32に開口する。
【0086】次に、図3(d)に示すように、例えばチ
タンシリサイドをスパッタ法にてマスク層32及び第1
コンタクトホールCH1内を全面に被覆して120nm
堆積させ、サイドウォールマスク用層33を形成する。
【0087】次に、図3(e)に示すように、図7
(b)のヘリコン波プラズマタイプのエッチング装置に
て、(ガス:Cl2 =100SCCM、圧力:0.3P
a、ソース出力(13.56MHz):1200W、R
Fバイアス(13.56MHz):50W、ウェハ温
度:20℃、オーバーエッチ:5%)の条件でエッチバ
ックを行い、サイドウォールマスク層33aを形成す
る。この時、全面エッチバック時の基板バイアスの出力
を下げることにより、肩落ちが少ない矩形に近いサイド
ウォールマスク層33aが形成される。サイドウォール
マスク層33aの形成により、コンタクトホールの径を
約0.1μmに狭めることができる。
【0088】次に、図4(f)に示すように、図7
(b)に示すヘリコン波プラズマタイプのエッチング装
置にて、(ガス:C4 8 /O2 =50/2SCCM、
圧力:0.2Pa、ソース出力(13.56MHz):
2000W、RFバイアス(13.56MHz):25
0W、ウェハ温度:20℃、オーバーエッチ:20%)
の条件でエッチングを行い、絶縁膜22を貫通して半導
体基板10を露出させる第2コンタクトホールCH2を
開口する。この時、従来ならマスク径が拡大し絶縁膜2
2中の開口がテーパ形状となるため配線ショートをひき
起こすところだが、絶縁膜の酸化シリコンとマスクのチ
タンシリサイドとの選択比は約30であったため、エッ
チング中を通してマスク径が約0.1μmを保ち垂直形
状のコンタクトホールを開口できる。本実施例では、約
0.05μmの合わせずれが生じたにもかかわらず配線
ショートは全く発生しない。
【0089】次に、フッ酸系の前処理のよりコンタクト
ホール底部の自然酸化膜を除去した後、図4(g)に示
すように、例えばポリシリコンを減圧CVD法により第
2コンタクトホールCH2内を埋め込んでマスク層上面
を全面に300nm堆積させ、埋め込み配線層34を形
成する。
【0090】次に、図1に示すように、例えば図7
(b)のヘリコン波プラズマタイプのエッチング装置に
て、(ガス:SF6 /Cl2 =30/10SCCM、圧
力:0.3Pa、ソース出力(13.56MHz):1
200W、RFバイアス(13.56MHz):50
W、ウェハ温度:20℃、オーバーエッチ:5%)の条
件で全面にエッチバックを行い、第2コンタクトホール
CH2内に埋め込まれ、マスク層32及び図示しないソ
ースドレイン拡散層に接続する埋め込み配線層34を形
成する。次に、マスク層32をパターニング加工するこ
とによりポリシリコンの第1上層配線32a及びチタン
シリサイドの第2上層配線32bからなるポリサイドの
上層配線32として利用し、図1に示す半導体装置を形
成する。
【0091】上記のポリシリコン層の全面エッチバック
時にはSF6 の流量比を上げ、チタンシリサイドである
上側マスク層32b上にフッ化チタン系の堆積物の生成
を促進することで、結果的に選択比3にて上側マスク層
32b上でエッチングが停止する。
【0092】以上のように、本実施例により耐圧特性は
降伏電圧50V以上を達成でき、マスク層の薄膜化及び
サイドウォールマスク層の後退を抑制し、配線のショー
トやエッチングストップの生じない、配線の信頼性を確
保した微細なコンタクトを有する半導体装置の製造する
ことができる。実施例5
【0093】本実施例においては、図3(e)に至るま
での工程は実施例1と同様である。但し、上側マスク層
32bについては、タングステンシリサイドを実施例1
においては70nm堆積させていたが、本実施例におい
ては例えば140nm堆積させて形成し、後の研磨によ
って薄膜化する分厚く形成する。サイドウォールマスク
用層33をエッチバックすることによりサイドウォール
マスク層33aを形成した後、図5(a)に示すよう
に、マスク層32及びサイドウォールマスク層を上面か
ら例えばCMP研磨により、約70nm研磨する。これ
により、サイドウォールマスク層の肩の形状をより矩形
に近づけることができる。
【0094】次に、図5(b)に示すように、例えばポ
リシリコンを減圧CVD法により第2コンタクトホール
CH2内を埋め込んでマスク層上面を全面に300nm
堆積させ、埋め込み配線層34を形成し、さらに全面に
エッチバックを行い、第2コンタクトホールCH2内に
埋め込まれ、マスク層32及び図示しないソースドレイ
ン拡散層に接続する埋め込み配線層34を形成する。次
に、マスク層32をパターニング加工することによりポ
リシリコンの第1上層配線32a及びタングステンシリ
サイドの第2上層配線32bからなるポリサイドの上層
配線32として利用し、図5(b)に示す半導体装置を
形成する。
【0095】上記の本実施例の半導体装置の製造方法に
おいて、マスク層の薄膜化及びサイドウォールマスク層
の後退を抑制し、配線のショートやエッチングストップ
の生じない、配線の信頼性を確保した微細なコンタクト
を有する半導体装置の製造することができる。
【0096】本発明は、DRAMなどのMOSトランジ
スタの半導体装置や、バイポーラ系の半導体装置、ある
いはA/Dコンバータなど、コンタクトホールを有する
半導体装置であればなんでも適用できる。装置の微細
化、縮小化が進められた半導体装置に、微細で信頼性の
高いコンタクトによる接合を提供することができる。
【0097】本発明は、上記の実施の形態に限定されな
い。例えば、マスク層及びサイドウォールマスク層はそ
れぞれ1層としてもよく、2層以上の構成としてもよ
い。また、エッチングプラズマ源、装置構成、サンプロ
構造、エッチング、研磨等のプロセス条件などについ
て、本発明の要旨を逸脱しない範囲で種々の変更を行う
ことができる。また、ゲート電極は単層でも多層でもよ
い。また、オフセット絶縁膜や薄いシリコン窒化膜をゲ
ート電極上に形成して自己整合的にコンタクトホールを
開口してもよい。ソースドレイン拡散層はLDD構造な
どの種々の構造を使用できる。その他、本発明の要旨を
逸脱しない範囲で種々の変更を行うことができる。
【0098】
【発明の効果】本発明によれば、コンタクトホール内壁
にサイドウォールを形成し、コンタクトホールの開口径
を狭めて開口する方法において、マスク層の薄膜化及び
サイドウォールマスク層の後退を抑制し、配線のショー
トやエッチングストップの生じない、配線の信頼性を確
保した微細なコンタクトを有する半導体装置の製造する
ことができる。
【図面の簡単な説明】
【図1】図1は本発明の半導体装置の製造方法により製
造した半導体装置の断面図である。
【図2】図2は本発明の半導体装置の製造方法の製造工
程を示す断面図であり、(a)はLDDサイドウォール
絶縁膜の形成工程まで、(b)はマスク層の形成工程ま
で、(c)はマスク層への第1コンタクトホールの開口
工程までを示す。
【図3】図3は図2の続きの工程を示し、(d)はサイ
ドウォールマスク用層の形成工程まで、(e)はサイド
ウォールマスク層の形成工程までを示す。
【図4】図4は図3の続きの工程を示し、(f)は絶縁
膜への第2コンタクトホールの開口工程まで、(g)は
埋め込み配線層の形成工程までを示す。
【図5】図5は本発明の実施例5の半導体装置の製造方
法の製造工程を示す断面図であり、(a)はマスク層及
びサイドウォールマスク層の研磨工程まで、(b)は埋
め込み配線層のエッチバック工程までを示す。
【図6】本発明において使用するECRタイプの高密度
プラズマエッチング装置の構成を示す概略断面図であ
る。
【図7】本発明において使用する高密度プラズマエッチ
ング装置の構成を示す概略断面図であり、(a)はIC
Pタイプ、(b)はヘイコン波プラズマタイプのエッチ
ング装置をそれぞれ表している。
【図8】図8は従来の半導体装置の製造方法の製造工程
を示す断面図であり、(a)はLDDサイドウォール絶
縁膜の形成工程まで、(b)はマスク層の形成工程ま
で、(c)はマスク層への第1コンタクトホールの開口
工程までを示す。
【図9】図9は図8の続きの工程を示し、(d)はサイ
ドウォールマスク用層の形成工程まで、(e)はサイド
ウォールマスク層の形成工程まで、(f)は絶縁膜への
第2コンタクトホールの開口工程までを示す。
【図10】図10は従来の半導体装置の製造方法の製造
工程を示す断面図であり、(a)はサイドウォールマス
ク層の形成工程まで、(b)は絶縁膜への第2コンタク
トホールの開口工程までを示す。
【符号の説明】
10…半導体基板、20…ゲート絶縁膜、21…サイド
ウォール絶縁膜、22…絶縁膜、31…ゲート電極、3
2…マスク層(上層配線)、33…サイドウォールマス
ク用層、33a…サイドウォールマスク層、34…埋め
込み配線層、35…マスク層、36…サイドウォールマ
スク用層、36a…サイドウォールマスク層、R…レジ
スト、CH1、CH2…コンタクトホール、61…マク
ネトロン、62…導波管、63…石英ベルジャー、64
…ソレノイドコイル、65…ウェハ、66…クランプ、
67…ウェハステージ、68…高周波電源、69…上部
電極、70…誘導結合コイル、71…ヒーター、72…
ソース電源、73…アンテナ、74…ソースチェンバ
ー、75…マルチポール磁石。

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】半導体基板上に絶縁膜を形成する工程と、 前記絶縁膜上に高融点金属を含有するマスク層を形成す
    る工程と、 前記マスク層に第1コンタクトホールを開口する工程
    と、 前記第1コンタクトホールの内壁に高融点金属を含有
    し、第1コンタクトホールの開口径を狭めるサイドウォ
    ールマスク層を形成する工程と、 前記サイドウォールマスク層をマスクにして前記絶縁膜
    に第2コンタクトホールを開口する工程とを有する半導
    体装置の製造方法。
  2. 【請求項2】半導体基板上に絶縁膜を形成する工程と、 前記絶縁膜上に高融点金属を含有するマスク層を形成す
    る工程と、 前記マスク層に第1コンタクトホールを開口する工程
    と、 前記第1コンタクトホールの内壁に第1コンタクトホー
    ルの開口径を狭めるサイドウォールマスク層を形成する
    工程と、 前記サイドウォールマスク層をマスクにして前記絶縁膜
    に第2コンタクトホールを開口する工程とを有する半導
    体装置の製造方法。
  3. 【請求項3】半導体基板上に絶縁膜を形成する工程と、 前記絶縁膜上にマスク層を形成する工程と、 前記マスク層に第1コンタクトホールを開口する工程
    と、 前記第1コンタクトホールの内壁に高融点金属を含有
    し、第1コンタクトホールの開口径を狭めるサイドウォ
    ールマスク層を形成する工程と、 前記サイドウォールマスク層をマスクにして前記絶縁膜
    に第2コンタクトホールを開口する工程とを有する半導
    体装置の製造方法。
  4. 【請求項4】前記マスク層を少なくともタングステンシ
    リサイドあるいはチタンシリサイドのいずれかを含有す
    る層で形成し、 前記サイドウォールマスク層を少なくともタングステン
    シリサイドあるいはチタンシリサイドのいずれかを含有
    する層で形成する請求項1記載の半導体装置の製造方
    法。
  5. 【請求項5】前記マスク層をポリシリコンと高融点金属
    シリサイドの積層体であるポリサイドにより形成する請
    求項1記載の半導体装置の製造方法。
  6. 【請求項6】前記サイドウォールマスク層を形成する工
    程の後、前記第2コンタクトホールの開口工程の前に前
    記マスク層及び前記サイドウォールマスク層を上面から
    研磨する工程を有する請求項1記載の半導体装置の製造
    方法。
  7. 【請求項7】前記マスク層及び前記サイドウォールマス
    ク層をパターン加工して上層配線とする工程を有する請
    求項1記載の半導体装置の製造方法。
  8. 【請求項8】前記第2コンタクトホールの開口工程が低
    圧高密度のプラズマエッチングにより開口する工程であ
    る請求項1記載の半導体装置の製造方法。
  9. 【請求項9】前記低圧高密度のプラズマエッチングがE
    CRタイプ、ICPタイプ、あるいはヘリコン波プラズ
    マタイプのいずれかのプラズマエッチングである請求項
    8記載の半導体装置の製造方法。
JP5796497A 1997-03-12 1997-03-12 半導体装置の製造方法 Pending JPH10256368A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5796497A JPH10256368A (ja) 1997-03-12 1997-03-12 半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5796497A JPH10256368A (ja) 1997-03-12 1997-03-12 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JPH10256368A true JPH10256368A (ja) 1998-09-25

Family

ID=13070709

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5796497A Pending JPH10256368A (ja) 1997-03-12 1997-03-12 半導体装置の製造方法

Country Status (1)

Country Link
JP (1) JPH10256368A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100429008B1 (ko) * 2001-11-21 2004-04-29 한국디엔에스 주식회사 반도체 장치의 콘택 홀 형성 방법
KR100788587B1 (ko) 2006-07-05 2007-12-26 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
JP2015230952A (ja) * 2014-06-04 2015-12-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100429008B1 (ko) * 2001-11-21 2004-04-29 한국디엔에스 주식회사 반도체 장치의 콘택 홀 형성 방법
KR100788587B1 (ko) 2006-07-05 2007-12-26 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
JP2015230952A (ja) * 2014-06-04 2015-12-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Similar Documents

Publication Publication Date Title
US5930639A (en) Method for precision etching of platinum electrodes
KR100420286B1 (ko) 반도체장치의제조방법
JPH0950986A (ja) 接続孔の形成方法
KR100595866B1 (ko) 반도체 소자용 자체 정렬 컨택트
JP2003023000A (ja) 半導体装置の製造方法
US6227211B1 (en) Uniformity improvement of high aspect ratio contact by stop layer
US6204193B1 (en) Method for etching
KR100523014B1 (ko) 반도체 장치의 제조 방법
JP3862035B2 (ja) 半導体装置およびその製造方法
US6008132A (en) Dry etching suppressing formation of notch
JPH10189727A (ja) 半導体装置の製造方法
JPH1126578A (ja) 微細接続孔の形成方法
JPH10294367A (ja) 半導体装置の製造方法
JPH10256368A (ja) 半導体装置の製造方法
KR20010042105A (ko) 반도체 소자용 자체 정렬 접촉부
US5843827A (en) Method of reducing dielectric damage from plasma etch charging
JP4577328B2 (ja) 半導体装置の製造方法
US6753265B2 (en) Method for manufacturing bit line
KR20020002583A (ko) 반도체장치의 폴리실리콘 콘택 플러그 형성방법
JP3883247B2 (ja) 半導体装置の製造方法
JPH1065003A (ja) 微細接続孔の形成方法
US7105455B2 (en) Method for fabricating nonvolatile memory device
KR20010112878A (ko) 반도체 장치 제조 방법
JP2985841B2 (ja) 半導体装置の製造方法
JPH11154704A (ja) 半導体装置の製造方法