JPH08337879A - Cvd装置 - Google Patents

Cvd装置

Info

Publication number
JPH08337879A
JPH08337879A JP7166955A JP16695595A JPH08337879A JP H08337879 A JPH08337879 A JP H08337879A JP 7166955 A JP7166955 A JP 7166955A JP 16695595 A JP16695595 A JP 16695595A JP H08337879 A JPH08337879 A JP H08337879A
Authority
JP
Japan
Prior art keywords
substrate
cvd apparatus
substrate holder
reaction gas
reaction vessel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7166955A
Other languages
English (en)
Other versions
JP3599204B2 (ja
Inventor
Shinya Hasegawa
晋也 長谷川
Shigeru Mizuno
茂 水野
Kazuto Watanabe
和人 渡邊
Nobuyuki Takahashi
信行 高橋
Manabu Tagami
学 田上
Takanori Yoshimura
孝憲 吉村
Hajime Sahase
肇 佐長谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
Original Assignee
Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Anelva Corp filed Critical Anelva Corp
Priority to JP16695595A priority Critical patent/JP3599204B2/ja
Priority to TW085102914A priority patent/TW293139B/zh
Priority to US08/635,492 priority patent/US5676758A/en
Priority to KR1019960014107A priority patent/KR100208499B1/ko
Publication of JPH08337879A publication Critical patent/JPH08337879A/ja
Application granted granted Critical
Publication of JP3599204B2 publication Critical patent/JP3599204B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 CVD装置において反応ガス利用効率を高く
し、生産性と歩留まりを高くする。 【構成】 反応容器11と、基板保持体13と、基板保持体
を加熱する加熱装置34と、反応容器内に反応ガスを供給
する反応ガス供給板12を備え、反応ガス供給板の基板対
向面に同心状に設けられた少なくとも2つの円筒20,21
を備え、反応ガス供給板における各円筒の内側に位置す
る部分から反応ガスを供給するように構成される。反応
ガス供給板と基板保持体に電力を供給する電力供給装置
と、反応容器の上側と下側に配置された環状の磁石53,5
5 を設け、各磁石の対向する磁極部によってプラズマ空
間を通る磁力線を生成する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体装置製造工程で
用いられるもので、化学反応に基づき気相成長を利用し
て薄膜を形成するCVD装置に関する。
【0002】
【従来の技術】最近の半導体装置製造の分野において素
子の集積化と微細化はますます進んでいる。素子の微細
化は、製造工程において新しい技術を要求する。例え
ば、微細ホール内への充分な膜の埋込、素子内の段差を
軽減する工夫、および高電流密度を原因とした発熱やエ
レクトロマイグレーションによる断線の予防などの技術
を要求する。かかる要求に応える新しい製造工程とし
て、アルミニウム(Al)、タングステン(W)、銅
(Cu)等の配線材料の下地膜であるTiやTiN等の
成膜において、スパッタリング法に代わってCVD法
(化学的気相成長法)が注目されている。タングステン
に関しては、特にWF6 (六沸化タングステン)ガスと
2 ガスを用いた熱CVD法によるブランケットタング
ステン膜(以下B−W膜という)の形成が注目される。
【0003】上記のCVD法を使用すれば、ホール径
0.5μm以下、アスペクト比2以上のホールにおいて
も充分な段差被覆性を得ることができ、素子内の平坦化
やエレクトロマイグレーション防止の要求に応えること
ができる。
【0004】B−W膜CVD装置の従来の基本構成を概
説する。
【0005】反応容器内の上方位置に反応ガスを供給す
る反応ガス供給板が設けられ、基板を搭載する基板保持
体が下方位置に設けられる。反応ガス供給板を介して供
給された反応ガスによって基板表面に所望の薄膜が形成
される。上記基板保持体はヒータにより加熱される。す
なわち熱CVD装置である。基板保持体の温度は内部に
埋め込まれた熱電対によって測定され、その測定データ
はその後の温度制御に使用される。
【0006】基板保持体の中心部には差圧チャック排気
部に接続される孔が設けられ、また基板保持体の基板配
置面には上記孔に通じる差圧溝が形成される。基板を配
置面に載置した後、孔および差圧溝を差圧チャック排気
部で排気すると、基板の表と裏で生じる圧力の差によっ
て基板は基板保持体上に固定される。なお差圧チャック
の代わり静電チャックで基板を固定することも可能であ
る。
【0007】また基板保持体の内部または周囲に設けら
れたスペース等を利用してAr等のパージガスを供給す
る供給路を形成し、基板の下側または周囲に設けられた
隙間からパージガスを吹出すことによって反応ガスの侵
入を防ぎ、基板の裏面や基板保持体に成膜が生じるのを
防止する。
【0008】上記のB−W膜CVD装置における成膜に
関する通常の成膜条件は、例えば、成膜初期の核生成段
階として、反応ガスWF6 は2〜10sccm、SiH4
2〜10sccm、パージガスArは100〜500sccm、
基板保持体の温度は400〜500℃、圧力0.5〜1
0Torrで成膜され、続いて、H2 の還元により厚膜が形
成される成膜条件は、反応ガスWF6 は100〜200
sccm、H2 は1000〜2000sccm、パージガスAr
は300〜1000sccm、基板保持体の温度は400〜
500℃、圧力は30〜70Torrである。
【0009】またCVD装置の他の例としてのTi膜C
VD装置の従来構成は、基本的には前述のB−W膜CV
D装置と同じであり、反応容器の内部に反応ガス供給
板、基板保持体、パージガス供給路、基板保持体を加熱
するヒータ、差圧チャック機構または静電チャック機構
等を備える。Ti膜CVD装置において、B−W膜CV
D装置と相違する点は、反応ガス供給板に電流導入端子
より高周波電力が印加され、これにより反応ガス供給板
と基板保持体の間にプラズマが生成されるように構成さ
れる点である。すなわち平行平板型プラズマCVD装置
である。
【0010】かかるTi膜CVD装置の成膜に関する通
常の成膜条件は、例えば、反応ガスTiCl4 は1〜5
0sccm、H2 は20〜2000sccm、パージガスArは
300〜1000sccm、基板保持体の温度は400〜6
00℃、圧力は0.1〜10Torr、高周波電力は100
〜600Wである。
【0011】
【発明が解決しようとする課題】前述した従来のB−W
膜CVD装置では、基板保持体が反応ガス供給板から離
れているため、反応容器内に供給された反応ガスのうち
基板に達しないで排気される反応ガスの割合が多い。こ
のため反応ガス中に含まれるタングステンが基板上で成
膜され膜となる割合、すなわち反応ガス利用効率が低い
という問題点があった。
【0012】上記の問題を解決する1つの方法として、
基板保持体と反応ガス供給板の間隔を狭くする方法があ
る。この解決方法によれば、反応ガス供給板が基板保持
体の熱によって加熱され、その温度が上昇し、反応ガス
供給板に成膜が生じる。基板以外の箇所に成膜が生じる
ことは、パーティクルやダスト(塵)の発生の原因とな
り、歩留まりが低下するという問題を提起する。
【0013】反応ガス利用効率が低い問題を解決する他
の方法として、基板および基板保持体を回転させる方法
がある。しかし、この場合、回転に伴う周囲の反応ガス
流れを安定にするための待ち時間(1000rpm までに
20秒)を必要とし、これによって生産性が低減される
という問題を提起する。
【0014】また従来のTi膜CVD装置では、上記の
問題に加え、基板保持体が反応ガス供給板から離れてい
るため、プラズマ密度が低くなりやすいという問題を有
する。このため、成膜速度が遅くなる。また未反応ガス
や副生成物の膜中への残留が多くなるため、生産性が低
くなり、歩留まりが低くなる。
【0015】従来のTi膜CVD装置の上記問題を解決
する方法として、ECR(電子エレクトロン共鳴)等の
高密度プラズマ源を使用する方法があるが、装置が大型
化するという問題を生じる。
【0016】本発明の第1の目的は、上記の問題を解決
することにあり、反応ガス利用効率の高い成膜を行うこ
とができ、高い生産性と高い歩留まりを有するCVD装
置を提供することにある。
【0017】本発明の第2の目的は、さらに、装置を大
型化することなく高い生産性と高い歩留まりを有するC
VD装置を提供することにある。
【0018】
【課題を解決するための手段】第1の本発明(請求項
1)に係るCVD装置は、上記目的を達成するために、
反応容器と、反応容器内に設けられる基板保持体と、基
板保持体を加熱する加熱装置と、基板保持体に対向して
配置され、反応容器内に反応ガスを供給する反応ガス供
給板とを備え、基板保持体に配置された基板の表面に薄
膜を形成する装置であり、反応ガス供給板の基板対向面
に同心状に設けられた少なくとも2つの例えば円筒を備
え、反応ガス供給板における各円筒の内側に位置する部
分から反応ガスを供給するように構成される。
【0019】第2の本発明(請求項2)に係るCVD装
置は、上記第1の発明において、反応ガス供給板と基板
保持体に電力を供給する電力供給装置を設けるように構
成される。
【0020】第3の本発明(請求項3)に係るCVD装
置は、上記第2の発明において、反応容器の上側と下側
に配置された環状の磁石を設け、上側と下側の各磁石の
対向する磁極部によってプラズマ空間を通る磁力線を生
成するように構成される。
【0021】第4の本発明(請求項4)に係るCVD装
置は、上記第3の発明において、上側の環状の磁石の中
心部の位置に筒型の他の磁石を設け、上側の環状磁石の
反応容器側磁極部と前記筒型磁石の反応容器側磁極部と
の間に磁力線が生成されるように構成される。
【0022】第5の本発明(請求項5)に係るCVD装
置は、上記第1の発明において、外側の円筒の周囲に配
置されるコイルを設け、コイルに高周波電力を供給する
ように構成される。
【0023】第6の本発明(請求項6)に係るCVD装
置は、上記第5の発明において、基板保持体に電力を供
給する電力供給装置を設けるように構成される。
【0024】第7の本発明(請求項7)に係るCVD装
置は、上記第5または第6の発明において、反応容器の
上側と下側に環状の磁石を設け、上側と下側の各磁石の
対向する磁極部によってプラズマ空間を通る磁力線を生
成するように構成される。
【0025】第8の本発明(請求項8)に係るCVD装
置は、上記第7の発明において、上側の環状磁石の中心
部の位置に筒型磁石を設け、上側の環状磁石の反応容器
側磁極部と筒型磁石の反応容器側磁極部との間に磁力線
が生成されるように構成される。
【0026】第9の本発明(請求項9)に係るCVD装
置は、上記第1〜第8の発明において、基板保持体と反
応ガス供給板の間隔が変化させる、基板保持体を移動す
るための可動装置(移動機構)を備えるように構成され
る。
【0027】
【作用】本発明では、CVD装置において、基板保持体
に対向して配置される反応ガス供給板の対向面に同心円
状に設けた2つの円筒の各々の内部空間を利用して反応
ガスを導入し、基板に対し反応ガスを誘導する。これに
よって、反応容器内に供給される反応ガスを効率よく利
用する。
【0028】また本発明では、必要な電力が供給される
反応ガス供給板と基板保持体の間に高い密度のプラズマ
が生成される。さらに、反応容器の上側部分および下側
部分に配置された磁石によって当該プラズマ領域内に生
成された磁力線で、プラズマ空間におけるプラズマ密度
をさらに高める。
【0029】さらに本発明では、外側筒体の周囲に設け
られ、高周波電流が供給されるコイルを利用してプラズ
マ空間におけるプラズマ密度をさらに高める。
【0030】
【実施例】以下に、本発明の実施例を添付図面に基づい
て説明する。
【0031】図1を参照して本発明に係るCVD装置の
第1実施例を説明する。このCVD装置はB−W膜CV
D装置であり、本装置では、反応容器11内の上方位置
に反応ガス供給板12が設けられ、下方位置に基板保持
体13が設けられる。基板保持体13は例えばアルミニ
ウムで形成され、基板保持体13の上面に基板15が配
置される。基板保持体13の平面形状は円形である。
【0032】反応ガス供給板12の下面には所定位置に
複数のガス吹出し孔12aが形成され、ガス供給管14
で供給された反応ガスがガス吹出し孔12aから吹出
し、反応容器11内に導入される。反応ガス供給板12
の下面は、基板保持体13の上に配置された基板15に
対向している。反応ガス供給板12の下面には同心円状
に配置された径の小さい第1の円筒20と、径の大きい
第2の円筒21が設けられる。円筒20,21の下端は
基板15の処理面の付近まで延設される。円筒20,2
1の軸方向の長さは図示例ではほぼ同じであるが、異な
らせることも可能である。上記のガス吹出し孔12a
は、図1および図2に示すように、反応ガス供給板12
の下面において円筒20の内部の下面領域、および円筒
20と円筒21の間の下面領域に設けられる。円筒20
内における反応ガス供給板12の下面領域では全領域に
ガス吹出し孔12aが形成され、円筒20と円筒21の
間における反応ガス供給板12の下面領域では円筒21
の内周縁に沿った円環状領域にガス吹出し孔12aが形
成される。反応ガス供給板12で供給された反応ガスに
よって、基板15の表面に所望の薄膜が形成される。反
応容器11内で生じた未反応ガスおよび副生成ガスは排
気部16によって排気される。
【0033】なお上記の孔12aは、直径が例えば0.
5mm程度であり、例えば5mm程度のピッチで複数形成さ
れている。
【0034】基板保持体13の中心部には上下方向に貫
通状態で形成された孔17が設けられ、この孔17は下
方の差圧チャック排気部18と連結される。差圧チャッ
ク排気部18は、反応容器11に付設された上記排気部
16とは別のものである。基板保持体13の上面には、
基板15と接する部分に上記貫通孔17と連結された差
圧溝19が設けられている。差圧溝19は、径方向に向
かう放射状の例えば8本の直線溝(図示せず)と、同心
円状に配置された例えば2本の円周溝とからなる。差圧
溝19の深さは例えば1mm、幅は例えば1.5mmであ
る。基板15が基板保持体13の上面に載置された後に
差圧溝19を差圧チャック排気部18によって真空に排
気すると、基板15の表面と差圧溝19との間に圧力差
が生じる。この圧力差によって、基板15は基板保持体
13の上に固定される。この基板固定手段によれば、基
板15の成膜が行われる面に機械的な接触が直接的に行
われず、基板15の表面全面に成膜を行うことができ
る。通常、この固定方法は差圧チャックまたは真空チャ
ックと呼ばれる。なお固定手段としては、静電チャック
装置を用いることもできる。
【0035】上記の差圧溝19の構造によって、基板1
5を基板保持体13に固定することができる。本実施例
のCVD装置の構造によれば、基板15の上面すなわち
成膜面に対して直接に接触するものが存在しないために
基板15の表面の温度分布は、基板保持体13の温度分
布が均一ならば、基板15と基板保持体13の接触状態
のみによって決定される。それ故に、基板15が基板保
持体13上に均一に固定されるならば、基板15は均一
な温度分布を持つことになる。
【0036】反応容器11の下壁11aには、内外二重
の筒体22が取り付けられる。筒体22は基板保持体1
3を支持する働きを有する。筒体22の下部は閉じら
れ、上部には連結体23が固設される。筒体22の内側
筒部22aは上記孔17に通じる孔を形成し、筒体22
の下壁から外部に延設される。筒体22の外側筒部22
bと内側筒部22aの間の空間にはパージガス導入部2
4を介してパージガス(第1のパージガス)が供給され
る。上記連結体23には、その中央部に上記の孔17や
内側筒部22a内の孔に通じる孔23aが形成され、そ
の周囲部に例えば10本のパージガス供給路25が形成
される。
【0037】上記基板保持体13は、連結体23にネジ
26で固定され、上記筒体22に取り付けられる。これ
によって基板保持体13は、筒体22に支持された状態
で、反応容器11の下側中央部に好ましくはほぼ水平状
態で配置される。基板保持体13と連結体23と支持用
筒体22は一体的に形成される。この構造によって、差
圧チャックを形成する孔17等、バージガス供給路2
5、反応容器11の内部空間が互いに隔離される。な
お、連結体23と基板保持体13との接触面には、パー
ジガスが通路外に漏れるのを防止するためのシール材2
7が設けられる。
【0038】基板保持体13には、その表面に円形溝で
あるパージガス吹出し溝28が形成され、さらにその内
部に例えば10本のパージガス通路29が形成される。
10本のパージガス通路29の各々は、連結体23にお
ける対応するパージガス供給路25と、基板保持体13
の上面に形成されたパージガス吹出し溝28とを接続す
る。各パージガス通路29は、パージガス導入部24に
よって導入される第1のパージガスをパージガス吹出し
溝28に対して供給する。各パージガス通路29は、好
ましくは水平であって基板保持体13の径方向を向いた
径方向部と、好ましくは垂直であって基板保持体13の
軸方向を向いた軸方向部とによって形成される。各パー
ジガス通路29の径方向部は、等角度の間隔で放射状の
位置に配置される。パージガス通路29の径方向部は、
図1中下側に位置して円形のパージガス吹出し溝28の
外壁面の半径よりも大きな長さを有する第1の径方向部
と、図1中上側に位置してパージガス吹出し溝28の外
壁面にパージガス吐出口(出口部)が形成される第2の
径方向部とがある。パージガス通路29の形状は全体と
してパージガス吹出し溝28の半径よりも長くなるよう
に径方向に延設され、かつその後に垂直な軸方向部を経
由して基板保持体13の中心部方向に折り返され、パー
ジガス吹出し溝28に対してその外側から接続される。
【0039】基板保持体13内に形成されるパージガス
通路29は、実際には、それを形成する径方向部と軸方
向部のそれぞれを個別に、基板保持体12の外表面から
孔を開け、それぞれを接続することによって作製され
る。径方向部と軸方向部の各孔を作製した後に、当該孔
の開口部は栓を埋めることにより閉じられる。
【0040】また基板保持体13の上面では、バージガ
ス吹出し溝28の内側に位置する表面すなわち基板配置
面と、バージガス吹出し溝28の外側に位置する表面と
の間に段差が設けられる。この段差は、例えば0.2mm
である。この段差が設けられることによって、基板15
を基板配置面に配置したとき、基板15と基板保持体1
3の間にパージガスを吹出すための隙間30が形成され
る。
【0041】また反応容器11の外面には、反応容器1
1を冷却するための複数の水冷通路31が設けられる。
さらに基板保持体13の周囲にシールド部材32が配置
される。このシールド部材32は、基板保持体13の側
部周囲に位置する円筒部32aと、基板保持体13の上
面の基板周囲の面を被うリング板部32bとからなる。
シールド部材32の円筒部32aは、反応容器11の下
壁11aに固定される。リング板部32bと基板保持体
13との間の隙間は、室温では1mm程度の隙間として形
成される。しかし、成膜温度のときには基板保持体13
が熱膨脹し、当該隙間は0.2mm程度になり、基板15
と基板保持体13の間に形成される上記隙間34とほぼ
等しい隙間となる。従ってリング板部32bの内周縁と
基板15の外周縁はほぼ同じ高さの位置に存在し、かつ
それらの間に例えば1mm程度の隙間33が形成される。
シールド部材32と基板保持体13とによって、パージ
ガス導入部34で導入される第2のパージガスを流す供
給路が形成される。
【0042】第2のパージガスは、主に基板保持体13
への成膜を防ぐためのものであり、リング板部32bを
設け、このリング板部32bと基板保持体13との間に
形成される隙間、およびリング板部32bの内周縁と基
板15の外周縁との間の隙間33を通して第2のパージ
ガスを吹出すようにしている。
【0043】上記第2のパージガスは、基板保持体13
のパージガス通路29およびパージガス吹出し溝28を
通して供給される第1のパージガスと、隙間33付近で
合流し、反応容器11の内部に吹出る。この場合におい
て、隙間33の形成位置、第1および第2のパージガス
の吹出し方向および合流作用によって、第2のパージガ
スは基板保持体13の表面または基板15に対してほぼ
直角に吹出すことになる。第2のパージガスの吹出し作
用によって、反応ガスが、基板保持体13とリング板部
32bの間の隙間に侵入するのを防止することができ
る。さらに詳しくは、上方から隙間33付近への反応ガ
スは、合流したパージガスによって濃度が薄められ、基
板15の裏面への反応ガスの侵入は隙間30から吹出る
第1のパージガスによって防止され、基板保持体13と
リング板部32bの間の隙間への反応ガスの侵入は第2
のパージガスによって防止される。
【0044】基板保持体13は、基板15を支持すると
共に伝導作用によって基板15に必要な熱を与える。基
板保持体13は、基板保持体13と反応容器11の下壁
11aとの間に配置されたリング型平板状のセラミック
ヒータ34の輻射熱によって加熱される。セラミックヒ
ータ34が配置される場所は、反応容器11内における
パージガスが供給される通路の内部である。
【0045】セラミックヒータ34は、少なくとも3つ
のセラミック製支持部35の上に、セラミック製ネジ3
6を用いて固定される。セラミックヒータ34の上面に
はカーボンコーティング部37が設けられる。カーボン
コーティング部37に、外部からの通電線38および配
線固定ネジ39を経由して電流を供給することにより発
熱作用を生じる。通電線38はシール部40を介して配
線される。セラミックヒータ34と基板保持体13が近
接して配置されるため、加熱効率が非常に良好となる。
セラミックヒータ34の下側にはタンタル(Ta)等で
形成された反射板41が配置される。
【0046】基板保持体13の温度は、内部に埋め込ま
れた熱電対42によって測定され、その測定データは、
その後、図示しない加熱制御系によって基板保持体13
の温度制御に使用される。
【0047】上記第1の円筒20の寸法は例えば外径2
8mm、長さ36mm、厚さ1.5mmであり、第2の円筒2
1の寸法は例えば外径150mm、長さ36mm、厚さ2mm
である。また各円筒20,21の下端と基板15の表面
との間隔はできるだけ小さいことが望ましいが、好まし
くは例えば15mmである。当該間隔は、基板を基板保持
体13の上に配置する搬送系のアームを入れるため最小
限必要な間隔である。上記寸法を有する円筒20,21
に関し、円筒20と円筒21の間における反応ガス供給
板12の下面領域に形成されるガス吹出し孔12aが形
成される領域は、反応ガス供給板12の中心から60〜
75mmの距離を有する、円筒21の内周縁に沿った円環
状領域である。反応ガス供給板12の基板対向面におい
て上記のような形状の円筒20,21を設け、かつ円筒
20,21の内部の所定の位置から反応ガスが供給され
るようにガス吹出し孔12aを設けることによって、反
応ガス供給板12から出た反応ガスを基板15の近くに
集中させて導入できるようにしたため、これによって反
応ガスの利用効率を向上することができる。なお円筒2
0,21については円筒の代わりに、同一の位置関係に
ある円形以外の他の形状の2つの筒体を用いることが可
能である。
【0048】成膜は、セラミックヒータ34で所定温度
に保持された基板保持体13上に直径が例えば150mm
の基板15を差圧チャックによって固定した後、反応ガ
ス供給板12より反応ガスを導入して行われる。未反応
ガスおよび副生成ガスは排気部16より排気される。ま
た成膜時に基板15の裏面や基板保持体13等の成膜を
行わないように、第1および第2のパージガスを導入す
る。
【0049】上記実施例のよるCVD装置の通常の成膜
条件は、例えば、基板初期核形成の段階として、反応ガ
スWF6 は2〜10sccm、SiH4 は2〜10sccm、パ
ージガス(Ar)が100〜500sccm、基板保持体1
3の温度は400〜500℃、圧力は0.5〜10Torr
であり、H2 還元により厚膜が形成される段階として、
反応ガスWF6 は50〜200mm、H2 は500〜20
00sccm、パージガスは300〜1000sccm、基板保
持体13の温度は400〜500℃、圧力は30〜70
Torrである。
【0050】本実施例では、特に、WF6 が50sccm、
2 が1000sccm、基板保持体温度が440℃、圧力
が40Torrの条件で成膜を行い、成膜速度が0.5μm
/分、基板面内分布(測定領域140mmφ)の標準偏差
が±2.7%という良好な結果を得ることができた。
【0051】一方、従来の同様なCVD装置では、WF
6 が100sccm、H2 が1000sccm、基板保持体温度
が440℃、圧力が40Torrの条件で成膜を行うと、成
膜速度が0.5μm/分、基板面内分布(測定領域14
0mmφ)の標準偏差が±9%という結果が得られた。
【0052】本実施例によるCVD装置では反応ガス利
用効率は42%となり、従来装置の反応ガス利用効率1
3%に比較すると、大幅に改善することができた。
【0053】上記第1実施例の変形例として、第1実施
例の上記構成に加え、図5に示すように基板保持体13
を上下に移動できるようにした移動機構(可動機構)を
設けることもできる。この移動機構では、反応容器11
の下壁11aに形成された孔に筒体22を密閉シールリ
ング81を介して回転自在および軸方向に移動自在に設
けると共に、その外面に雄ネジ部82を形成し、一方、
反応容器11の下壁11aの外側に雌ネジ部83を備え
た支持部材84が取り付けられる。筒体22の雄ネジ部
82と支持部材84の雌ネジ部83とはネジ結合された
状態にある。また筒体22の下部に設けられたギヤ85
は、モータ86の出力軸に設けられたギヤ87と噛み合
った状態に設けられる。なお図5中、セラミックヒータ
等の図示は省略されている。かかる移動機構において、
モータ86を回転駆動すると、上記のネジ結合部によっ
て筒体22が回転しながら上下動し、こうして基板保持
体13の上下動が行われる。かかる移動機構によって基
板15の表面と円筒20,21の先端との間隔を例えば
1mmとし、上記と同様な成膜を行った。この結果、成膜
速度が0.7μm/分、基板面内分布(測定領域140
mmφ)の標準偏差が±2.5%という良好な結果が得ら
れた。また反応ガス利用効率は60%であった。
【0054】なお上記実施例ではB−W膜CVD装置の
例を説明したが、他のCVD装置、例えば、TiN、C
u、Al等のCVD装置にも適用できる。
【0055】また上記実施例の他の効果として、基板保
持体13の側から供給されるパージガスが基板15の表
面に達するのを外側の円筒21で抑制することによっ
て、基板周辺での成膜レート低下を押えることができ、
これにより良好な基板面内分布を得ることができる。
【0056】次に図3を参照して本発明に係るCVD装
置の第2実施例について説明する。この実施例では、平
行平板型のTi膜プラズマCVD装置を示す。図3にお
いて、図1に示した要素と実質的に同一の要素には同一
の符号を付し、詳細な説明は省略する。以下、第1実施
例の装置と相違する第2実施例の特徴的な構成、作用、
効果を説明する。
【0057】反応ガス供給板12には、反応容器11の
上壁に設けられた電流導入端子51を経由して挿入され
る通電線52が接続される。通電線52の外側の端部は
高周波電源に接続される。通電線52は、反応ガス供給
板12と基板15との間に高い密度のプラズマを生成す
るための高周波電流を供給する。
【0058】反応容器11の上壁の外側には、例えば外
径が155mmで内径が135mmの円環状の磁石53と、
例えば外径が20mmの円筒状磁石54が設けられる。磁
石53の下面はN極、磁石54の下面はS極となってい
る。また反応容器11の下壁には、例えば外径155m
m、内径145mmの円環状で上面がS極の磁石55が設
けられる。これらの磁石53,54,55における対向
するまたは近接する磁極部によって生成される磁束(磁
力線)は、前述のプラズマ中を通り、プラズマ密度を高
めるように形成される。かかる構成によって、プラズマ
中の電子が、生成された磁力線に沿って螺旋運動を行う
ために、磁場がなく電子が直進する場合に比較して、電
子の走行距離が長くなり、プラズマ中の電子と衝突する
頻度が増すので、反応ガス供給板12と基板15との間
のプラズマの密度がいっそう高くなり、基板15の表面
での成膜速度が向上する。
【0059】また第2実施例のCVD装置では、基板保
持体13に載置される基板15を固定するための手段と
して静電チャックが使用される。従って、第1実施例の
ごとく基板保持体13に貫通孔17は形成されず、差圧
チャック排気部18は設けられない。基板保持体13を
支持するための筒体56は、二重構造ではない単純な筒
体であり、第1のパージガスを導入するためのパージガ
ス導入部24が設けられる。さらに基板保持体13の周
囲に配置されるシールド部材57は、反応容器11の下
壁に絶縁体58を介して設けられ、その周囲にさらにリ
ング部材59が設けられる。
【0060】また基板保持体13およびシールド部材5
7には、反応容器11の下壁に設けられた電流導入端子
60を経由して挿入される通電線61が接続される。通
電線61の外側端子は高周波電源に接続される。通電線
61は、基板15およびシールド部材57にバイアスを
加えるためのものである。通電線52によって反応ガス
供給板12に高周波電流を供給し、通電線61によって
基板15とシールド部材57にバイアスを加えるという
構成によって、反応ガス供給板12と基板15との間に
高い密度のプラズマが生成される。
【0061】上記のごとく反応ガス供給板12と基板保
持体13等の各々に所要の電力を供給することによって
高密度のプラズマを発生させることができ、さらに磁石
53,54,55を所定位置に設けることによってプラ
ズマの密度をいっそう高めることができる。
【0062】なお図3中では基板保持体13を加熱する
ための加熱装置、および水冷通路の図示が省略される。
その他の構成は、前述した第1実施例による装置の構成
と同じである。加熱装置は基板保持体13の内部に設け
ることもできる。特に、反応ガス供給板12の下面に同
心円状に配置された第1と第2の円筒20,21を設
け、円筒20の内部、および円筒20と円筒21の間に
反応ガス吹出し孔12aを設けるようにした構成は、第
1実施例と同様に第2実施例の特徴でもある。
【0063】成膜を行う工程は前述の第1実施例と基本
的に同じである。上記第2実施例のよるCVD装置の通
常の成膜条件は、例えば反応ガスTiCl4 は1〜50
sccm、H2 は20〜2000sccm、パージガス(Ar)
が300〜1000sccm、基板保持体13の温度は40
0〜600℃、圧力は0.1〜10Torrである。また反
応ガス供給板12に周波数60MHz、電力100〜6
00Wを供給する。周波数を60MHzと高くしたた
め、電子の振幅が小さくなり、反応容器の壁部に衝突し
て電子が消失することが少なくなり、この結果、電子が
消失するまでにプラズマ中の原子と衝突する頻度が増
し、プラズマ密度が高くなり、成膜速度が向上する。ま
た基板保持体13には周波数13.56MHz、電力1
0〜200Wを供給する。反応ガス供給板12と基板保
持体13への電力供給は逆に接続することも、あるいは
片方に電力を供給せず、接地することも可能である。
【0064】本実施例では、特に、TiCl4 が2scc
m、H2 が60sccm、基板保持体温度が600℃、圧力
が1Torr、反応ガス供給板12への高周波周波数60M
Hz、電力600W、基板保持体13は接地の条件で成
膜を行い、成膜速度が10nm/分が得られた。
【0065】一方、従来のTi膜CVD装置では、Ti
Cl4 が2sccm、H2 が60sccm、基板保持体温度が6
00℃、圧力が1Torr、反応ガス供給板13への高周波
周波数13.56MHz、電力600Wの条件で2時間
成膜を行ったが、成膜を観測することができなかった。
【0066】上記第2実施例においても、第1実施例と
同様に、移動機構によって基板保持体を上下し、基板1
5の表面と円筒20,21の先端との間隔を変えるよう
にすることもできる。当該間隔を1mmとすることによ
り、成膜速度15nm/分を得ることができた。
【0067】次に、図4を参照して本発明に係るTi膜
CVD装置の第3実施例について説明する。この実施例
によるCVD装置は、第2実施例によるCVD装置の構
成を変形したもので誘導結合型のプラズマCVD装置で
ある。図4において、図1または図3に示した要素と実
質的に同一要素には同一の符号を付し、詳細な説明は省
略する。
【0068】本実施例によるCVD装置では、反応ガス
供給板12と基板保持体13の間に生成されるプラズマ
を高密度にするため、第2の円筒21の周囲にコイル7
1を複数巻きで巻き付けるようにした。このコイル71
は反応容器11の上壁に設けられた電流導入端子72,
73を介して外部に引き出される。なお第2実施例に示
したように、反応ガス供給板12に電流を与えるための
構成部分は設けられない。その他の構成および作用は、
第2実施例で説明したものと同じである。
【0069】コイル71の巻き数は任意であって、一巻
きであっても構わない。
【0070】成膜の工程では、基本的に第2実施例で説
明されたものと同じである。相違する点は、コイル71
に、例えば、周波数13.56MHz、電力100〜6
00Wを供給する点である。
【0071】本実施例では、特に、TiCl4 が2scc
m、H2 が60sccm、基板保持体温度が600℃、圧力
が0.5Torr、コイル71への高周波電力600W、基
板保持体13は接地の条件で成膜を行い、成膜速度が1
0nm/分が得られた。
【0072】一方、従来のTi膜CVD装置では、Ti
Cl4 が2sccm、H2 が60sccm、基板保持体温度が6
00℃、圧力が0.5Torr、反応ガス供給板12の高周
波周波数13.56MHz、電力600Wの条件で2時
間成膜を行ったが、成膜を観測することができなかっ
た。
【0073】上記第3実施例においても、第1実施例と
同様に、移動機構によって基板保持体を上下し、基板1
5の表面と円筒20,21の先端との間隔を変えるよう
にすることもできる。当該間隔を1mmとすることによ
り、成膜速度15nm/分を得ることができた。
【0074】
【発明の効果】以上の説明で明らかなように本発明によ
れば、次の効果を奏する。
【0075】反応ガス供給板と、これに対向して配置さ
れる基板を保持する基板保持体を備えたCVD装置にお
いて、反応ガス供給板の基板対向面に同心円状に配置さ
れた内外二重の筒体を設けるようにし、この2つの筒体
の内部空間を利用して反応ガスを誘導し、基板に対して
導入するようにしたため、反応ガスを効率よく利用する
ことができ、反応ガスの利用効率が高いCVD成膜を行
うことができ、CVD装置において高い生産性と高い歩
留まりを達成することができる。
【0076】筒体と基板との間の間隔を変えるための構
成を設けるようにしたため、最適な間隔を設定すること
ができ、反応ガスの利用効率をCVD装置ごとに対応し
て最適なものにすることができる。
【0077】反応ガス供給板と基板保持体に所要の電力
を供給することにより、高い密度のプラズマを生成する
ことができ、高い生産性と高い歩留まりのCVD装置を
実現することができる。さらに反応容器の上側部分およ
び下側部分に所定形状の任意の個数の磁石を配置するこ
とにより反応容器内のプラズマ空間を通る磁力線を形成
し、これによってプラズマ密度をさらに高めることがで
き、生産性と歩留まりを高めることができる。
【0078】また反応ガス供給板と基板対向面に設けら
れた外側筒体の周囲にコイルを設け、これに高周波電流
を流すことにより生成されるプラズマの密度をさらに高
めることができる。また基板保持体に所要の電力を供給
する構造、あるいは反応容器の上側部分および下側部分
に所定形状の任意の個数の磁石を配置する構造と組み合
わせることにより、プラズマ密度をいっそう高めること
ができ、高い生産性と高い歩留まりのCVD装置を実現
できる。
【図面の簡単な説明】
【図1】本発明に係るCVD装置の第1施例を説明する
ための縦断面図である。
【図2】図1中の反応ガス供給板の下面図である。
【図3】本発明に係るCVD装置の第2実施例を説明す
るための縦断面図である。
【図4】本発明に係るCVD装置の第3実施例を説明す
るための縦断面図である。
【図5】筒体を上下動させる移動機構の一例を示す一部
断面側面図である。
【符号の説明】
11 反応容器 12 反応ガス供給板 13 基板保持体 15 基板 20,21 円筒 12a ガス吹出し孔 53,54,55 磁石 71 コイル
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成7年7月13日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】請求項4
【補正方法】変更
【補正内容】
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】請求項8
【補正方法】変更
【補正内容】
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】請求項9
【補正方法】変更
【補正内容】
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】0021
【補正方法】変更
【補正内容】
【0021】 第4の本発明(請求項4)に係るCVD
装置は、上記第3の発明において、上側の環状の磁石の
中心部の位置に筒型の他の磁石を設け、上側の環状磁石
の反応容器側磁極部と前記筒型磁石の反応容器側磁極部
との間にプラズマ空間を通る磁力線が生成されるように
構成される。
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0025
【補正方法】変更
【補正内容】
【0025】 第8の本発明(請求項8)に係るCVD
装置は、上記第7の発明において、上側の環状磁石の中
心部の位置に筒型磁石を設け、上側の環状磁石の反応容
器側磁極部と筒型磁石の反応容器側磁極部との間にプラ
ズマ空間を通る磁力線が生成されるように構成される。
【手続補正6】
【補正対象書類名】明細書
【補正対象項目名】0026
【補正方法】変更
【補正内容】
【0026】 第9の本発明(請求項9)に係るCVD
装置は、上記第1〜第8の発明において、基板保持体と
反応ガス供給板の間隔変化させるように、基板保持体
を移動するための可動装置(移動機構)を備えるように
構成される。
【手続補正7】
【補正対象書類名】明細書
【補正対象項目名】0051
【補正方法】変更
【補正内容】
【0051】 一方、従来の同様なCVD装置では、W
6 が100sccm、H2が1000sccm、基板保持体温
度が440℃、圧力が40Torrの条件で成膜を行うと、
成膜速度が0.μm/分、基板面内分布(測定領域1
40mmφ)の標準偏差が±9%という結果が得られた。
【手続補正8】
【補正対象書類名】明細書
【補正対象項目名】0060
【補正方法】変更
【補正内容】
【0060】 また基板保持体13およびシールド部材
57には、反応容器11の下壁に設けられた電流導入端
子6を経由して挿入される通電線61が接続される。
通電線61の外側端子は高周波電源に接続される。通電
線61は、基板15およびシールド部材57にバイアス
を加えるためのものである。通電線52によって反応ガ
ス供給板12に高周波電流を供給し、通電線61によっ
て基板15とシールド部材57にバイアスを加えるとい
う構成によって、反応ガス供給板12と基板15との間
に高い密度のプラズマが生成される。
【手続補正9】
【補正対象書類名】明細書
【補正対象項目名】0063
【補正方法】変更
【補正内容】
【0063】 成膜を行う工程は前述の第1実施例と基
本的に同じである。上記第2実施例よるCVD装置の
通常の成膜条件は、例えば反応ガスTiCl4 は1〜5
0sccm、H2 は20〜2000sccm、パージガス(A
r)が300〜1000sccm、基板保持体13の温度は
400〜600℃、圧力は0.1〜10Torrである。ま
た反応ガス供給板12に周波数60MHz、電力100
〜600Wを供給する。周波数を60MHzと高くした
ため、電子の振幅が小さくなり、反応容器の壁部に衝突
して電子が消失することが少なくなり、この結果、電子
が消失するまでにプラズマ中の原子と衝突する頻度が増
し、プラズマ密度が高くなり、成膜速度が向上する。ま
た基板保持体13には周波数13.56MHz、電力1
0〜200Wを供給する。反応ガス供給板12と基板保
持体13への電力供給は逆に接続することも、あるいは
片方に電力を供給せず、接地することも可能である。
【手続補正10】
【補正対象書類名】明細書
【補正対象項目名】図1
【補正方法】変更
【補正内容】
【図1】 本発明に係るCVD装置の第1施例を説明
するための縦断面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 高橋 信行 東京都府中市四谷5丁目8番1号 日電ア ネルバ株式会社内 (72)発明者 田上 学 東京都府中市四谷5丁目8番1号 日電ア ネルバ株式会社内 (72)発明者 吉村 孝憲 東京都府中市四谷5丁目8番1号 日電ア ネルバ株式会社内 (72)発明者 佐長谷 肇 東京都府中市四谷5丁目8番1号 日電ア ネルバ株式会社内

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】 反応容器と、前記反応容器内に設けられ
    る基板保持手段と、前記基板保持手段を加熱する加熱手
    段と、前記基板保持手段に対向して配置され、前記反応
    容器内に反応ガスを供給する反応ガス供給手段とを備
    え、前記基板保持手段に配置された基板の表面に薄膜を
    形成するCVD装置において、 前記反応ガス供給手段の基板対向面に同心状に設けられ
    た少なくとも2つの筒体を備え、前記反応ガス供給手段
    における前記各筒体の内側に位置する部分から前記反応
    ガスを供給することを特徴とするCVD装置。
  2. 【請求項2】 前記反応ガス供給手段と前記基板保持手
    段に電力を供給する電力供給手段を設けたことを特徴と
    する請求項1記載のCVD装置。
  3. 【請求項3】 前記反応容器の上側と下側に配置された
    環状の磁石手段を設け、上側と下側の前記磁石手段の各
    々の対向する磁極部によってプラズマ空間を通る磁力線
    を生成したことを特徴とする請求項2記載のCVD装
    置。
  4. 【請求項4】 前記上側の環状磁石手段の中心部の位置
    に筒型磁石手段を設け、前記上側の環状磁石手段の反応
    容器側磁極部と前記筒型磁石手段の反応容器側磁極部と
    の間に磁力線が生成されることを特徴とする請求項3記
    載のCVD装置。
  5. 【請求項5】 外側の前記筒体の周囲に配置されるコイ
    ルを設け、前記コイルに高周波電力を供給するようにし
    たことを特徴とする請求項1記載のCVD装置。
  6. 【請求項6】 前記基板保持手段に電力を供給する電力
    供給手段を設けたことを特徴とする請求項5記載のCV
    D装置。
  7. 【請求項7】 前記反応容器の上側と下側に環状の磁石
    手段を設け、上側と下側の前記磁石手段の各々の対向す
    る磁極部によってプラズマ空間を通る磁力線を生成した
    ことを特徴とする請求項5または6記載のCVD装置。
  8. 【請求項8】 前記上側の環状磁石手段の中心部の位置
    に筒型磁石手段を設け、前記上側の環状磁石手段の反応
    容器側磁極部と前記筒型磁石手段の反応容器側磁極部と
    の間に磁力線が生成されることを特徴とする請求項7記
    載のCVD装置。
  9. 【請求項9】 前記基板保持手段と前記反応ガス供給手
    段との間隔が変化させる、前記基板保持手段を移動する
    ための可動手段を備えることを特徴とする請求項1〜8
    のいずれか1項に記載のCVD装置。
JP16695595A 1995-06-08 1995-06-08 Cvd装置 Expired - Fee Related JP3599204B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP16695595A JP3599204B2 (ja) 1995-06-08 1995-06-08 Cvd装置
TW085102914A TW293139B (ja) 1995-06-08 1996-03-11
US08/635,492 US5676758A (en) 1995-06-08 1996-04-22 CVD apparatus
KR1019960014107A KR100208499B1 (ko) 1995-06-08 1996-05-01 Cvd장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP16695595A JP3599204B2 (ja) 1995-06-08 1995-06-08 Cvd装置

Publications (2)

Publication Number Publication Date
JPH08337879A true JPH08337879A (ja) 1996-12-24
JP3599204B2 JP3599204B2 (ja) 2004-12-08

Family

ID=15840725

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16695595A Expired - Fee Related JP3599204B2 (ja) 1995-06-08 1995-06-08 Cvd装置

Country Status (4)

Country Link
US (1) US5676758A (ja)
JP (1) JP3599204B2 (ja)
KR (1) KR100208499B1 (ja)
TW (1) TW293139B (ja)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3585606B2 (ja) * 1995-09-19 2004-11-04 アネルバ株式会社 Cvd装置の電極装置
US6399143B1 (en) 1996-04-09 2002-06-04 Delsys Pharmaceutical Corporation Method for clamping and electrostatically coating a substrate
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6503331B1 (en) * 2000-09-12 2003-01-07 Applied Materials, Inc. Tungsten chamber with stationary heater
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US7235139B2 (en) * 2003-10-28 2007-06-26 Veeco Instruments Inc. Wafer carrier for growing GaN wafers
JP4590364B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 処理装置
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
US20090277388A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Heater with detachable shaft
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101671489B1 (ko) * 2010-07-29 2016-11-02 삼성디스플레이 주식회사 유기물 증발원 및 그를 포함하는 증착 장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11851763B2 (en) * 2017-06-23 2023-12-26 General Electric Company Chemical vapor deposition during additive manufacturing
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
WO2019106979A1 (ja) * 2017-11-28 2019-06-06 東京エレクトロン株式会社 処理装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666298B2 (ja) * 1983-02-03 1994-08-24 日電アネルバ株式会社 ドライエッチング装置
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
JPH04345022A (ja) * 1991-05-22 1992-12-01 Sony Corp ブランケットメタルcvd装置

Also Published As

Publication number Publication date
KR100208499B1 (ko) 1999-07-15
TW293139B (ja) 1996-12-11
US5676758A (en) 1997-10-14
JP3599204B2 (ja) 2004-12-08
KR970001588A (ko) 1997-01-24

Similar Documents

Publication Publication Date Title
JP3599204B2 (ja) Cvd装置
TWI591752B (zh) 具有射頻返回路徑的基材支撐件
US5614055A (en) High density plasma CVD and etching reactor
US6417626B1 (en) Immersed inductively—coupled plasma source
JP6442463B2 (ja) 環状のバッフル
US20110000619A1 (en) Rotational antenna and semiconductor device including the same
US6875927B2 (en) High temperature DC chucking and RF biasing cable with high voltage isolation for biasable electrostatic chuck applications
JP3125696U (ja) 基板処理チャンバ用の内部アンテナ
JPH10501300A (ja) Cvd法及びpecvd法による低温成膜方法及び装置
JPH09237698A (ja) 誘導結合プラズマ・リアクタとその方法
JP2005350773A (ja) プラズマ処理中のアーク減少方法及び装置
TW201143547A (en) Plasma processing apparatus
US6339997B1 (en) Plasma processing apparatus
WO2006001253A1 (ja) プラズマ処理装置
US20200370177A1 (en) Substrate processing chamber
US20120103525A1 (en) Plasma processing apparatus
US6850012B2 (en) Plasma processing apparatus
TWI476294B (zh) 利用微波電漿沉積介電膜之方法
JPH0992494A (ja) Cvd装置の電極装置
US20070289531A1 (en) Batch-type deposition apparatus having a gland portion
WO2021132010A1 (ja) 成膜方法及び成膜システム
KR20010112958A (ko) 고밀도 플라즈마 반응기
JPH10168565A (ja) イオン化pvd装置および半導体装置の製造方法
JPH09245993A (ja) プラズマ処理装置及びアンテナの製造方法
US20160379801A1 (en) Rotational antenna and semiconductor device including the same

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040608

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040806

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040907

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040909

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070924

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080924

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080924

Year of fee payment: 4

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080924

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090924

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090924

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100924

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100924

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110924

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110924

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120924

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120924

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130924

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees