JPH0773994A - 中空陰極アレイおよびこれを用いた表面処理方法 - Google Patents

中空陰極アレイおよびこれを用いた表面処理方法

Info

Publication number
JPH0773994A
JPH0773994A JP6159932A JP15993294A JPH0773994A JP H0773994 A JPH0773994 A JP H0773994A JP 6159932 A JP6159932 A JP 6159932A JP 15993294 A JP15993294 A JP 15993294A JP H0773994 A JPH0773994 A JP H0773994A
Authority
JP
Japan
Prior art keywords
plasma
hollow cathode
housing
openings
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6159932A
Other languages
English (en)
Inventor
Frank Jansen
ジャンセン フランク
Steven K Krommenhoek
ケイ クローメンフーク スティーヴン
Abraham I Belkind
エイブラハム アイ ベルキンド イン
Jr Zoltan Orban
オーバン ジュニア ゾルタン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Messer LLC
Original Assignee
BOC Group Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BOC Group Inc filed Critical BOC Group Inc
Publication of JPH0773994A publication Critical patent/JPH0773994A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 基板表面の被覆物を除去するのに用いる中空
陰極アレイを開示する。 【構成】 中空陰極アレイ2は、プラズマ前駆態ガス供
給源および電源18とに接続されたハウジング10から
構成され、これが真空中に配置される。上記ハウジング
の壁には等間隔に複数の開口14が設けられており、こ
の開口を介してプラズマが生成されるようになされてい
る。処理すべき基板22は、上記開口に対して平行に所
定の距離を隔てて配置される。上記の構成によって、複
数のプラズマトーチが生成されて上記開口から延び、こ
れによって上記基板の被覆物をプラズマエッチングして
除去する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は新奇な中空陰極アレイに
関するものであり、特に、プラズマを生成するための中
空陰極アレイ、およびこれを用いた基板表面処理方法に
関するものである。
【0002】
【従来の技術】冷間圧延鋼、ステンレス鋼、あるいはそ
の他の鉄素材や鉄合金、アルミニュウムおよびアルミニ
ューム合金箔およびシート素材、銅および銅合金やシー
ト素材などの金属シート素材は、圧力伝達媒体に油
(「圧延油」)を用いて薄い標準規格に圧延することに
よって作成される。また、保存中において金属が雰囲気
中の酸素あるいは水分にさらされて酸化されるのを防ぐ
ために、オイル、グリース、あるいはその他の炭化水素
(「輸送油」)で覆うことが行われる。しかし、これら
の被覆物は塗料やクロムなどの恒久的な被覆を行う際
に、除去する必要がある。炭化水素を含む有機材料が塗
布された鋼板は、テトラクロロエチレンなどの溶剤に浸
して洗浄を行うことによって清浄にすることが可能であ
るが、このような溶剤は、安全上の理由から廃棄が非常
に難しくまた高価になってきている。一方、プラズマ洗
浄を用いて酸化物あるいは炭化水素などの物質を金属表
面から除去することも可能である。しかし、この方法は
一般に高価であり、また大型のシート状の材料を通常の
プラズマエッチ装置内で実用的な速度で処理することは
不可能である。
【0003】
【発明が解決しようとする課題】このような理由から、
シート状金属素材をプラズマを用いて洗浄し有機物ある
いはその他の被覆物をすばやく安価に除去する実用的な
方法の探求が続けられている。本発明は、このような手
段と方法とを提供せんとするものである。
【0004】
【課題を解決するための手段】我々は、中空陰極アレイ
を作成し、これをプラズマ源として用いるとシート状金
属素材を含むいろいろな材料の表面を処理を行うことが
有効であることを見いだした。このような用途に効果的
に用い得る中空陰極アレイシステムは、その1つの壁に
沿って等間隔に複数の開口が開けられたハウジングから
構成される。これらの開口の大きさとハウジングの壁の
厚さは、これらの開口の中でプラズマが生成されて、生
成されたプラズマが開口から放出され、これによって実
効的に中空陰極アレイが形成されるように選定される。
この中空陰極アレイは、真空チャンバー内に設置され
る。処理しようとする基板は、この中空陰極アレイの開
口と平行に、所定の距離を隔てて配置される。マグネッ
トアセンブリを基板の下部あるいは上部に配置して磁気
的増強を行うことによって、プラズマが開口から放出さ
れるときに、プラズマを収束させるようにできる。ある
いは、互いに逆極性のマグネットアセンブリを基板の下
部とハウジングの上部に配置するようにしてよい。
【0005】本発明の中空陰極アレイを用い、DCある
いはAC電源に接続されたハウジング内に適当なプラズ
マ前駆態ガスを通過させながら、シート素材を中空陰極
アレイ下部を通過させることによってシート状材料の洗
浄を行うことが可能である。電力およびガス流量は、ハ
ウジングの全ての開口において強固なプラズマ放電が起
こるように調節される。本発明の中空陰極アレイは、開
口の直径と間隔、開口の部分のハウジングの厚さ、中空
陰極ハウジング内部のガス圧力とパワーとを適当に選択
することによって、どのような大きさにもすることがで
きる。従って、酸化物および炭化水素を含む表面物質を
腐食する、あるいはこれと反応を起こすプラズマ前駆態
ガスを用いて、圧延金属素材や箔などの幅広のシート状
材料を均一にプラズマ処理し、シート表面からこれらの
表面物質を除去することが可能である。
【0006】
【実施例】図面を参照した説明においては、同様の部分
は、同様の参照を用いる。この発明の目的に適した中空
陰極アレイについて、図1を参照しながら説明する。中
空陰極アレイ2は、底板12を有するハウジング10か
ら構成されており、この底板12には、等間隔に複数の
開口が貫通して設けられている。また、吸入口17から
ハウジング10内にプラズマ前駆態ガスが供給されるよ
うになされている。また、ハウジング10には電源18
が接続されている。ハウジング10は、真空チャンバー
内に図2に示したように配置される。また、処理すべき
基板22は、底板12の下部にこれと平行に置かれる。
基板22をコンベアにのせて、1つあるいはそれ以上の
底板12の下部を通過させるようにすることもできる。
あるいは、真空チャンバー20の内部あるいは外部に配
置されたリールからストリップ状の金属素材を供給して
1つあるいはそれ以上の底板12の下部を通過させた後
に別のリールに巻取るようにすることによって基板の供
給を行うようにすることも可能である。リールを真空チ
ャンバー20の外に配置した場合には、ストリップ状金
属の真空チャンバー20の内外への出し入れは、既知の
精巧なエアーロック技術を用いて行う。真空チャンバー
20は、真空ポンプ(図示せず)に接続された排出口2
4を有している。
【0007】図3は、図1の真空チャンバー内に配置さ
れた中空陰極の他の実施例を示したものであり、基板2
2をプラズマエッチする速度を速めるためにマグネット
アセンブリ26が設けられている。基板22の下部ある
いは上部に配置されたマグネットアセンブリ26は、開
口14中に生成されたプラズマを基板22に向かって収
束させ、またさらに、プラズマ中の反応性粒子の比率を
増大させて、与えられた中空陰極構造とパワーにおいて
エッチ速度を増大させる働きを有する。マグネットアセ
ンブリ26は、基板22の下部あるいは上部に配置する
ようにもできるし、あるいは、第1のマグネットアセン
ブリ26を基板22の下部に配置し、第2のマグネット
アセンブリ28をハウジング10の上部に配置するよう
にもできる。後者の場合には、マグネットアセンブリ2
6と28は互いに逆の極性となるようになされる。マグ
ネットアセンブリ26および28は、例えば複数の棒磁
石を用いて構成することができる。本発明の中空陰極を
マグネットによって増強することによって得られる改良
された結果について以下にさらに説明する。上記の装置
が中空陰極アレイとして機能するには、放電パワーある
いは放電電流、およびガス流量のいずれもが、ハウジン
グ10の大きさ、および底板12の開口の直径と長さと
によって定まる最小レベルよりも大きくなっていること
が必要である。
【0008】パワーが低すぎる場合には、例えばおよそ
500W以下であると、ハウジングがグロー放電電極棒
として働き、放電電圧はパワーの増大に従って著しい増
大を示す。底板の長さが16インチ(40.64セン
チ)で、厚さが1/4インチ(0.64センチ)、また
底板に0.5インチ(1.27センチ)の間隔で直径1/
16インチ(0.16センチ)の開口が32個設けられ
ている場合では、パワーをおよそ500W以上まで増大
させると、チューブは中空陰極モードに切り替わるが、
このパワーレベルでは、プラズマ放電は安定ではなく、
すべての開口において同じ放電強度が得られるわけでは
なく、放電ゆらぎが見られる。しかし、パワーが150
0Wを超えると、プラズマ放電は、安定となり、強固な
放電がすべての開口から放出されるのが見られる。さら
にパワーが増大すると、平面強度がさらに増加してい
く。一般には、パワーレベルがおよび3kWであるとき
に安定な中空陰極放電動作が得られる。これよりさらに
パワーを増大するには、ハウジングを充分に冷却して開
口の内部でアーク放電が起こるのを防ぐようにする必要
がある。この中空陰極アレイにおいては、中空陰極ハウ
ジング内にプラズマ前駆態ガスをあらかじめ定められた
流量だけ流すことによって、中空陰極の開口の上部に圧
力差が保持される。中空陰極が正しく動作するには、ハ
ウジング内の前駆態ガスの圧力が最低必要な値以上とな
っていることが必要である。
【0009】必要最小圧力は、底板12に設けられた開
口の直径に依存する。各開口14の直径は、グロー放電
における陰極暗黒部の大きさの少なくとも2倍となって
いることが必要である。陰極暗黒部の大きさは、圧力に
反比例するので、圧力が大きくなるほど、暗黒部は小さ
くなり、従って開口14お大きさを小さくすることがで
き、しかも開口を小さくしてもすべての開口14の内部
で放電が開始するようにできる。望ましくは、例えば、
ハウジング内のガス圧力が一般にはおよそ0.1からおよ
び5.0Torrとなるように充分な流量のガスを流す必
要がある。圧力がこのような値、あるいこれ以上となる
と、放電の暗黒部が非常に小さくなり、従って放電を例
えば1/16インチ(0.16センチ)の直径の開口の
内部で起こすことが可能となる。本発明の中空陰極アレ
イのハウジングは、例えば、アルミニウム、チタン、ス
テンレス鋼、銅、タングステン、プラチナ、クロム、ニ
ッケル、ジルコニウム、モリブデン、などの金属、ある
いはこれらの合金、またはこれらと他の既知の元素との
合金を用いて作成することができ、その1つの壁の長さ
方向には等間隔に複数の開口を設ける。また、ハウジン
グは、図1に示されているように、その断面の開口部分
に底板を結合することによって作成することができる。
開口の直径はいろいろに設定することが可能である、後
の具体例でさらに説明するように、厚さが1/8インチ
のステンレス鋼の底板を用いて直径が約1/16インチ
(約0.16センチ)の開口を設けると非常に良い結果
が得られる。
【0010】用いるプラズマ前駆態ガスはプラズマによ
ってどのような処理をしようとしているかに従って選択
する。洗浄処理に対しては、プラズマ前駆態ガスとし
て、例えば、窒素、水素、酸素、オゾン、亜酸化窒素、
アルゴン、ヘリウムなどのガス、あるいは、空気(酸素
と窒素の混合ガス)、酸素と水素の混合ガス、酸素とヘ
リウムの混合ガスなどの混合ガスを用いることができ
る。その他の既知の腐食ガス、例えばハロゲン化物、ハ
ロゲン化炭素をプラズマ前駆態ガスとして採用すること
もできる。エッチ洗浄によって表面の炭化水素を除去す
るには、反応性酸素(励起状態の分子あるいは原子)を
ハウジングの開口に形成させて、油などの炭化水素分子
と反応させ、これを細分子構造に分解すると、細分子構
造は元の炭化水素よりも一般に揮発性が高く、少なくと
もより容易に蒸発させることができ、生成された揮発性
物質はシステムの排気系に排出される。基板の良好な洗
浄を達成するために考慮すべき他の要因として、基板2
2と、底板12の下部から放出される放電部との間の距
離、すなわち図4に示されているプラズマ放電トーチ長
30がある。ハウジング10の内部の圧力が高過ぎる
と、プラズマ放電放出長、すなわちトーチ30が非常に
短くなる。底板12と基板22との間の距離dは、良好
な洗浄が可能な距離の範囲内となっている必要がある。
好適な距離は約0.5インチ(約1.27センチ)から1.
5インチ(約3.81センチ)である。
【0011】ハウジンブ12の温度を制御するために、
中空陰極アレイを水冷ジャケットあるいはその他の既知
の冷却手段で包むようにすることができる。水冷ジャケ
ットは、ハウジング10、あるいは底板12、またはこ
れらの両方に装着させることができる。また、ガスおよ
び水ミスト冷却手段を用いてハウジング10と底板12
の温度調節を行うようにすることも可能である。底板1
2の温度を下げることによって、より大きなパワーを中
空陰極アレイ2に加えることが可能となり、従って、洗
浄すべき基板をより高速に移動させることが可能とな
る。圧延素材を中空陰極アレイの下部をさらに高速で通
過させるためには、処理しようとしている金属素材を接
地することが有効である。このようにすると、陰極に印
可されたパワーが基板を介して消費されるために、プラ
ズマによって基板温度が上昇する。このように基板温度
が上昇することによって低分子量の炭化水素の揮発が加
速される。このように、金属シートを接地し、またパワ
ーを増大させることによってプラズマ洗浄を行おうとし
ている金属シートをより高速で通過させるようにするこ
とができる。だだし、このようにするには底板あるいは
ハウジングを十分に冷却することが必要である。本発明
の中空陰極アレイを複数用いた場合には、300フィー
ト/分以上のコンベア速度を容易に達成することが可能
である。
【0012】中空陰極アレイ2を2個以上組み合わせた
場合には、単相AC電源を用いて絶縁トランスの2次コ
イルをこれらの2つの陰極に接続させるようにすること
ができる。このとき、2次コイルの中間点は、適当なイ
ンピーダンスを介して接地することもできるし、あるい
は直接に接地することもできる。または全く接続しなく
ともよい。図5において、2つの中空陰極110Aおよ
び110Bがトランス132の2次コイル130に接続
されている。2次コイル130の中間点134はインピ
ーダンス136を介して接地されている。このインピー
ダンスの大きさを変えてプラズマ電位を変化させ、接地
された基板120に対するイオン衝撃強度を制御するこ
とができる。AC電源の周波数は例えばおよそ50Hz
からおよそ50MHzの範囲とすることが可能である
が、もし可能であればおよそ60−100kHzの周波
数を用いるようにするのが好適である。次に、具体例を
示しながら本発明をさらに説明する。ただし、これらの
具体例だけに本発明が限定されるものではない。
【0013】
【例1】厚さが1/8インチ(0.32センチ)で、長
さが16インチ(40.64センチ)のステンレス鋼の
底板に65個の1/16インチ(0.16センチ)の開
口を1/8インチ(0.32センチ)間隔で設けた図3
に示したような構造の中空陰極アレイを用いた。基板を
底板の1インチ下の位置に、電気的に浮遊状態で配置
し、この基板の1インチ下の位置に、3つのマグネット
を1組とする8組のマグネットを1列に並べたマグネッ
トアセンブリ26を設置した。このようにすることによ
って、およそ250ガウスの磁界を底板12の上部に発
生させることができる。真空チャンバー20内を真空に
引いてから、酸素ガスをハウジング10内に流した。チ
ャンバー内の圧力は0.6Torrに維持した。DC電源
を用いた1.2から6A電流を流し、65個のすべての開
口において安定な中空陰極プラズマ放電を得ることがで
きた。上記の中空陰極アレイを用いて、5ミルの厚さの
アルミニウム箔のプラズマ洗浄を行った。処理されたア
ルミニウム箔の洗浄効果は、純水に対する接触角を測定
することによって評価した。ガラス基板上にスパッタさ
せた純粋なアルミニウムの場合には、接触角はおよそ3
度となるが、未処理のアルミニウム箔の場合には表面に
炭化水素が付着しているために接触角はおよそ85度か
ら95度となる。接触角がおよそ10度以下であれば、
表面は非常に望ましい清浄な状態となっている。
【0014】アルミニウム箔を中空陰極の下部をいろい
ろな速度で通過させた。その結果は図6に示されてい
る。図6は、接触角と分/インチを単位としたライン速
度との関係をグラフとして示したものである。ライン速
度を下げると、箔が中空陰極アレイからのプラズマにさ
らされる時間が長くなる。このとき、予想される通り、
接触角は小さくなる。すなわち箔はより良く洗浄され
る。図6において、Aは処理前のアルミニウム箔の接触
角である。直線Bは、電気的に浮遊状態とされたステン
レス鋼製の底板を用いたDCパワーを印可した場合にお
いて得られる接触角を示している。直線Cは、ステンレ
ス鋼製の底板を接地してDCパワーを印可した場合にお
いて得られる接触角を示している。また直線Dは、接地
されたアルミニウム製の底板を用いてACパワーを印可
した場合の接触角を示したものである。ライン速度を1
フィート/分として2kWのDCパワーを印可した場合
に非常に優れた結果が得られているが、このライン速度
はやや遅い。ライン速度を上げるには、中空陰極アレイ
に印可するパワーをさらに増大することが必要である。
次の表Iはいろいろなパワーレベルとライン速度におけ
る電気的に浮遊状態としたアルミニウムシートに対する
接触角をまとめて示したものである。
【0015】 表 I 試料 パワー コンベア速度 プラズマ暴露量 接触角 (kW) (in/min) (W・ min/in) (度) −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 1 1.06 200 5.3 22±3 2 1.06 100 10.6 18±3 3 2.17 100 21.7 11±3 4 2.17 23.5 173.6 0 プラズマ暴露量を21.7W・min/inとすると、受
け入れ可能な接触角度である10度に近い接触角が得ら
れた。
【0016】
【例2】この例では、中空陰極アレイに印可するパワー
を変化させたときにプラズマ強度の変化がどのようにな
るかをマグネット増強を行った場合と行わない場合の両
方に対して示す。例1の中空陰極(システムA)を用い
た場合に、酸素プラズマによって生成される原子酸素量
を酸素発光強度(777nm)を測定することによって
いろいろなパワーレベルに対して求めた。DC電源を用
いた場合では、1/8インチ(0.32センチ)の厚さ
のステンレス鋼製の底板に直径1/16(0.16セン
チ)インチの開口を設けたものを用いて、チャンバー圧
力を0.3Torrとしたときに、最も高い発光が見られ
た。1/2インチ(1.27センチ)間隔の32個の開
口を有する底板(システムB)を用いた場合にも、同様
な結果が得られた。図7は、発光スペクトル強度を陰極
パワーの関数として、マグネットを用いない場合(図7
A)、基板下部に単一マグネットアセンブリを配置した
場合(図7B)、2組のマグネットアセンブリのうち1
つを基板下部に他方をハウジング上部に配置した場合
(図7C)についてグラフ表示したものである。これら
から明らかなように、マグネットアセンブリを用いるこ
とによって原子酸素の生成が約2倍に増大される。また
図7A、7B、7Cから、65個の開口のアレイ(シス
テムA)内に中空陰極放電を維持するためには(黒つぶ
しの円)、32個の開口のアレイ(システムB)におけ
る場合(白抜きの円)よりも、より大きな陰極パワーを
印可することが必要であることがわかる。
【0017】
【例3】1/4インチ(0.64センチ)の厚さのアル
ミニウムに32個の3/32(0.24センチ)インチ
の直径の開口を設けた底板を用い、約0.3Torrから
0.6Torrの間のいろいろいな圧力において、500
Wから3000Wの範囲のACパワーを印可して、中空
陰極放電を起こさせた。このときの原子酸素線強度と、
パワーとの関係は、ステンレス鋼製の底板を用いてDC
パワーを印可した場合と同様であった。図8Aは、いろ
いろな圧力における原子酸素ピーク強度を、アルミニウ
ム底板を用いて90kHzのACパワーを印可した場合
(白抜きの円)と、1/8インチ(0.32センチ)の
厚さのステンレス鋼に1/16インチ(0.16セン
チ)の直径の開口を設けた底板にDCパワーを印可した
場合(黒つぶしの円)とについて比較した結果を示した
ものである。 図8Bは、同様な比較を基板の下部にマ
グネットを配置した場合について行った結果を示したも
のである。図8Cは、同様な比較を基板の下部と中空陰
極の上部の両方にマグネットを配置した場合について行
った結果を示したものである。
【0018】60−100kHzの範囲の周波数のAC
パワーを印可した場合に原子酸素線発光強度が最も強く
なった。また、マグネットアセンブリを用いることによ
って発光強度が増大されている。次の表IIは、アルミニ
ウム箔を接地した場合において、いろいろなパワーとラ
イン速度に対する接触角についてのデータをまとめたも
のである。 表 II 試料 パワー コンベア速度 プラズマ暴露量 接触角 (kW) (in/min) (W・ min/in) (度) −−−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 5 1.06 200 5.3 8±2 6 0.83 100 8.3 5±2 7 1.06 100 10.6 3±2 8 2.17 100 21.7 0 プラズマ暴露量を21.7W・min/inとした場合に
は、接触角が0度となった。また、コンベア速度を20
0in/minとし、プラズマ暴露量を5.3W・min
/inとした場合において、実用上において十分に良好
な接触角である8度が得られている。また、アルミニウ
ム箔を接地すると接触角が減少すること、すなわち箔が
より良く洗浄されることも明らかである。
【0019】
【例4】例3における洗浄処理をACハウジングを変え
て、接地したアルミニウム箔に対して再度行った。その
接触角に関するデータを次の表 IIIに示す。 表 III 試料 パワー コンベア速度 プラズマ暴露量 接触角 (kW) (in/min) (W・ min/in) (度) −−−−−−−−−−−−−−−−−−−−−−−−−−−−−− 9 0.50 200 2.5 7±2 10 0.50 100 5.0 4±2 11 1.00 100 10.0 2±2 12 2.00 100 20 0 表III から、コンベア速度を200in/minとした
とき、2.5W・min/inの小さなプラズマ暴露量で
実用上十分に良好な接触角が得られていることがわかろ
う。炭化水素が被覆されている金属シートあるいは箔に
対して、熱処理を前処理として行ってから上記のプラズ
マ処理を行うようにすることも可能である。熱処理を行
うことによって、低分子量のオイル分子を揮発させるこ
とができる。この揮発化ステップに続いて本発明による
中空陰極アレイを用いたプラズマ洗浄を行う。炭化水素
素オイルは1mg/cm2 /min/kWの速度で除去
される。
【0020】以上の例においては前駆態ガスとして酸素
を用いたが、その他のガス、例えば、窒素、空気、水蒸
気、水素、あるいはこれらとフッ化ガスとの混合あるい
はアルゴンなどの不活性混合との混合、または上記のガ
スの任意に組み合わせ、例えば空気や、酸素とアルゴン
との混合ガスを用いるようにすることも可能である。ま
た、水素あるいは水素を含む混合ガスなどの還元性ガス
を用いると、アルミニウムなどの金属シートから酸化物
を除去することが可能である。基板からハウジングの開
口までの相対距離は、ハウジングの材料に応じて変える
ことができる。ハウジングを構成する金属材料は、電源
としてAC電源を用いるのかあるいはAC電源を用いる
のか、またマグネットによる増強を行うのかどうかに応
じていろいろに変えることができる。一般に、基板位置
が中空陰極の開口から遠ざかるに従って、エッチング速
度が小さくなる。従って、基板と中空陰極開口との距離
は、一般には約0.5から1.5インチ(1.27から3.
81センチ)の範囲に維持される。基板の下部にマグネ
ットを配置することによって、開口から放出されるプラ
ズマの収束性が向上する。従って、マグネットを用いた
場合には基板と開口との距離をさらに大きくすることが
可能である。マグネット増強を採用し、ガスの圧力を低
くしたときに、より安定な中空陰極の性能がよりあって
いとなり、このときの、中空陰極アレイの開口と基板と
の間の好適な距離はおよそ1.0インチである。
【0021】また、本発明の中空陰極アレイは、形状加
工がなされた基板を収納するのに適した形状に加工する
ことも可能である。図9は、半円状の基板22と、やは
り半円状の中空陰極ハウジング10とを示したものであ
り、この場合においても基板22とトーチとの間隔は一
定に維持されている。基板および中空陰極アレイハウジ
ングは、例えば、湾曲形状、リング形状、長方形などの
任意の形状とすることが可能であることは明らかであろ
う。ただし、中空陰極ハウジング10と基板の両方とも
が互いに整合した形状となっており、基板22と開口1
4のアレイとの間の距離が均一となっていることが必要
である。また、上記のエッチングガスの代わりに堆積ガ
スを用いると、本発明の中空陰極アレイをプラズマCV
D(化学蒸着)プロセスに用いることも可能である。す
なわち、適当な堆積前駆態ガスを用いてプラズマを生成
させ、上記の金属シートを含めたいろいろな基板上にい
ろいろな膜を堆積させたり、あるいは被覆させたりする
ことができる。以上、本発明を具体的な例に基づいて説
明したが、本発明は、これらの具体例のみに限定される
ものではなく、これらと等価な材料、構成要素をも含む
ものである。例えば、適当なプラズマ前駆態ガスと適当
な反応条件を用いて、その他のいろいろなプラズマ処理
を行うことが可能である。さらに、以上に説明した金属
シート素材を、その他のいろいろな基板、例えば、高分
子フィルムやガラス板などに置き換えることも可能であ
る。従って、本発明は、特許請求の範囲の記載によって
定義されるものである。
【図面の簡単な説明】
【図1】図1は、本発明による装置の分解組立図であ
る。
【図2】図2は、真空チャンバー内に設置された中空陰
極アレイの断面を示した概略図である。
【図3】図3は、真空チャンバー内に設置された、他の
実施例による中空陰極アレイの断面を示した概略図であ
る。
【図4】図4は、中空陰極の1つの開口と基板、および
これらの間に生成されたプラズマ放電を示した概略図で
ある。
【図5】図5は、絶縁性基板に対する洗浄を可能とする
AC電源接続を示した概略図である。
【図6】図6は、プラズマ暴露量と接触角(度)との関
係を表したグラフである。
【図7】図7は、原子酸素発光と陰極パワーとの関係
を、マグネット増強を行った場合と行わなかった場合の
両方について示したグラフである。
【図8】図8は、原子酸素発光と陰極パワーとの関係
を、マグネット増強を行った場合と行わなかった場合に
ついて、またDCパワーおよびACパワーを印可した場
合について、真空チャンバー内のいろいろなガス圧力に
対して示したグラフである。
【図9】図9は、他の実施例による、湾曲した底板を有
する中空陰極アレイの断面図である。
【符号の説明】
2 中空陰極アレイ 10 ハウジング 12 底板 14 開口 22 基板 26、28 マグネットアセンブリ
フロントページの続き (72)発明者 スティーヴン ケイ クローメンフーク アメリカ合衆国 ニュージャージー州 07940マディソン ベッドフォード コー ト 18 (72)発明者 イン エイブラハム アイ ベルキンド アメリカ合衆国 ニュージャージー州 07060ノース プレインフィールド マー ティンズ ウェイ 184 (72)発明者 ゾルタン オーバン ジュニア アメリカ合衆国 ニュージャージー州 08823フランクリン パーク アムバーリ ー コート 18

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 壁に複数の開口が間隔をおいて設けられ
    たハウジングであって、真空中において作動されたとき
    に上記の開口からプラズマが生成されて放出されるよう
    に上記の開口の大きさと上記ハウジングの厚さが選択さ
    れており;プラズマ前駆態ガスを上記ハウジングに供給
    するための手段;及び上記ハウジングに電力を供給する
    ための手段とを具備していることを特徴とする中空陰極
    アレイ。
  2. 【請求項2】 少なくとも1つのマグネットアセンブリ
    を基板の近く、あるいはハウジングの近くに設置し、プ
    ラズマが上記の開口から放出される際にプラズマを収束
    させるようになされていることを特徴とする請求項1に
    記載の中空陰極アレイ。
  3. 【請求項3】 上記の電力供給手段がDC電源であるこ
    とを特徴とする請求項1または2に記載の中空陰極アレ
    イ。
  4. 【請求項4】 2つの隣接した中空陰極アレイが絶縁ト
    ランスの2次コイルに接続されており、上記電力供給手
    段が60kHzから100kHzの範囲の周波数を有す
    るAC電源であることを特徴とする請求項1または2に
    記載の中空陰極アレイ。
  5. 【請求項5】 (a)プラズマ前駆態ガスを供給するス
    テップ;(b)壁に複数の開口が間隔をおいて設けられ
    該開口からプラズマ放電が放出されるようになされたハ
    ウジングによって構成された中空陰極アレイに対して電
    力を供給することによって、プラズマを生成させるステ
    ップ;及び(c)表面を上記プラズマに対してさらすス
    テップとを含むことを特徴とする真空中において表面を
    処理する方法。
  6. 【請求項6】 処理を行うべき表面が真空チャンバー内
    に上記の開口と平行に所定の距離を隔てて配置されてい
    ることを特徴とする請求項5に記載の方法。
  7. 【請求項7】 上記表面の近く、または上記ハウジング
    の近くに配置された少なくとも1つのマグネットアセン
    ブリを用いて、プラズマが上記の開口から放出される際
    にプラズマを収束させるステップをさらに含むことを特
    徴とする請求項5または6に記載の方法。
  8. 【請求項8】 上記表面が金属シート表面であり、ま
    た、上記金属シート表面を熱処理して上記シートの表面
    の低分子量物質を揮発させるステップをさらに含んでい
    ることを特徴とする請求項5、6または7に記載の方
    法。
  9. 【請求項9】 上記表面がアルミニウムであることを特
    徴とする請求項5乃至8のいずれかに記載の方法。
  10. 【請求項10】 上記プラズマ前駆態ガスが酸素を含ん
    でいることを特徴とすることを特徴とする請求項5乃至
    9のいずれかに記載の方法。
JP6159932A 1993-07-12 1994-07-12 中空陰極アレイおよびこれを用いた表面処理方法 Pending JPH0773994A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8987593A 1993-07-12 1993-07-12
US08/089875 1993-07-12

Publications (1)

Publication Number Publication Date
JPH0773994A true JPH0773994A (ja) 1995-03-17

Family

ID=22220020

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6159932A Pending JPH0773994A (ja) 1993-07-12 1994-07-12 中空陰極アレイおよびこれを用いた表面処理方法

Country Status (4)

Country Link
US (1) US5627435A (ja)
EP (1) EP0634778A1 (ja)
JP (1) JPH0773994A (ja)
CA (1) CA2126731A1 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004107825A1 (ja) * 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
JP2004356558A (ja) * 2003-05-30 2004-12-16 Toshio Goto コーティング装置およびコーティング方法
WO2005067023A1 (ja) * 2004-01-09 2005-07-21 Tokyo Electron Limited 基板処理装置
JP2008181704A (ja) * 2007-01-23 2008-08-07 National Institute Of Advanced Industrial & Technology 高密度プラズマ処理装置
KR100924881B1 (ko) * 2007-05-02 2009-11-02 동부일렉트로닉스 주식회사 반도체 웨이퍼의 세정 장치 및 그 세정 방법
JP2010519680A (ja) * 2007-02-15 2010-06-03 アッシュ・ウー・エフ 真空筐体内においてコールドプラズマを生成する装置及び熱化学処理に対する該装置の使用
US20140216343A1 (en) 2008-08-04 2014-08-07 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10586685B2 (en) 2014-12-05 2020-03-10 Agc Glass Europe Hollow cathode plasma source
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7264850B1 (en) * 1992-12-28 2007-09-04 Semiconductor Energy Laboratory Co., Ltd. Process for treating a substrate with a plasma
DE19532100A1 (de) * 1995-08-30 1997-03-06 Leybold Ag Vorrichtung zur Plasmabehandlung von Substraten
KR100205098B1 (ko) * 1996-04-25 1999-06-15 김영환 플라즈마 식각 장치
JPH1046332A (ja) * 1996-07-30 1998-02-17 Nec Corp 金属薄膜形成装置
US5993598A (en) * 1996-07-30 1999-11-30 The Dow Chemical Company Magnetron
US6267074B1 (en) * 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
DE19727857C1 (de) * 1997-06-30 1999-04-29 Fraunhofer Ges Forschung Plasmarektor mit Prallströmung zur Oberflächenbehandlung
US5874807A (en) * 1997-08-27 1999-02-23 The United States Of America As Represented By The Secretary Of The Navy Large area plasma processing system (LAPPS)
AU9586198A (en) 1997-10-01 1999-04-23 Dow Chemical Company, The Dual face shower head electrode for a magnetron plasma generating apparatu
DE19755902C1 (de) 1997-12-08 1999-05-12 Fraunhofer Ges Forschung Verfahren und Vorrichtung zum Vergüten von Oberflächen
GB9821903D0 (en) 1998-10-09 1998-12-02 Rolls Royce Plc A method of applying a coating to a metallic article and an apparatus for applying a coating to a metallic article
CA2348653A1 (en) * 1998-12-07 2000-06-15 Tyau-Jeen Lin Hollow cathode array for plasma generation
KR100302457B1 (ko) * 1999-04-06 2001-10-29 박호군 다이아몬드 막 증착방법
FR2799921B1 (fr) * 1999-10-19 2002-01-11 Metal Process Procede de production d'un plasma par decharges a barriere multipolaire de type capacitif, et dispositif pour la mise en oeuvre d'un tel procede
US6528947B1 (en) 1999-12-06 2003-03-04 E. I. Du Pont De Nemours And Company Hollow cathode array for plasma generation
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US6444945B1 (en) 2001-03-28 2002-09-03 Cp Films, Inc. Bipolar plasma source, plasma sheet source, and effusion cell utilizing a bipolar plasma source
US7294283B2 (en) * 2001-04-20 2007-11-13 Applied Process Technologies, Inc. Penning discharge plasma source
JP4339597B2 (ja) 2001-04-20 2009-10-07 ジェネラル・プラズマ・インコーポレーテッド ダイポールイオン源
US20020153103A1 (en) * 2001-04-20 2002-10-24 Applied Process Technologies, Inc. Plasma treatment apparatus
US6736948B2 (en) * 2002-01-18 2004-05-18 Von Ardenne Anlagentechnik Gmbh Cylindrical AC/DC magnetron with compliant drive system and improved electrical and thermal isolation
KR100406297B1 (ko) * 2002-01-31 2003-11-19 대덕전자 주식회사 대기압 플라즈마를 이용한 인쇄회로기판 비아홀 세정장치
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6830664B2 (en) * 2002-08-05 2004-12-14 Tegal Corporation Cluster tool with a hollow cathode array
US20040129561A1 (en) * 2003-01-07 2004-07-08 Von Ardenne Anlagentechnik Gmbh Cylindrical magnetron magnetic array mid span support
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7014741B2 (en) * 2003-02-21 2006-03-21 Von Ardenne Anlagentechnik Gmbh Cylindrical magnetron with self cleaning target
US20050051422A1 (en) * 2003-02-21 2005-03-10 Rietzel James G. Cylindrical magnetron with self cleaning target
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
WO2005028697A1 (en) 2003-09-12 2005-03-31 Applied Process Technologies, Inc. Magnetic mirror plasma source and method using same
US20050172897A1 (en) * 2004-02-09 2005-08-11 Frank Jansen Barrier layer process and arrangement
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060278519A1 (en) * 2005-06-10 2006-12-14 Leszek Malaszewski Adaptable fixation for cylindrical magnetrons
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US20090032393A1 (en) * 2006-03-17 2009-02-05 General Plasma, Inc. Mirror Magnetron Plasma Source
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7649316B2 (en) * 2007-07-13 2010-01-19 Micron Technology, Inc. Assemblies for plasma-enhanced treatment of substrates
US20100225234A1 (en) * 2007-09-04 2010-09-09 Atomic Energy Council - Institute Of Nuclear Energy Research Hollow-cathode plasma generator
US20100218721A1 (en) * 2007-09-05 2010-09-02 Atomic Energy Council - Institute Of Nuclear Energy Research Hollow-cathode discharge apparatus for plasma-based processing
CN102017056B (zh) * 2008-05-02 2013-11-20 东电电子太阳能股份公司 用于衬底的等离子体处理的等离子体处理设备和方法
KR100974566B1 (ko) * 2008-08-08 2010-08-06 한국생산기술연구원 상압 플라즈마 장치
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US8500870B2 (en) * 2010-12-03 2013-08-06 Marc S. Werblud Biocompatible, corrosion-inhibiting barrier surface treatment of aluminum foil
US9431218B2 (en) 2013-03-15 2016-08-30 Tokyo Electron Limited Scalable and uniformity controllable diffusion plasma source
JP2018028109A (ja) * 2014-12-22 2018-02-22 旭硝子株式会社 プラズマcvd装置
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4132613A (en) * 1974-12-23 1979-01-02 Telic Corporation Glow discharge method and apparatus
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4637853A (en) * 1985-07-29 1987-01-20 International Business Machines Corporation Hollow cathode enhanced plasma for high rate reactive ion etching and deposition
JPS6358924A (ja) * 1986-08-29 1988-03-14 Mitsubishi Electric Corp プラズマ気相成長装置
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
EP0322466A1 (en) * 1987-12-24 1989-07-05 Ibm Deutschland Gmbh PECVD (plasma enhanced chemical vapor deposition) method for deposition of tungsten or layers containing tungsten by in situ formation of tungsten fluorides
JPH0266941A (ja) * 1988-08-31 1990-03-07 Nec Corp エッチング装置
JP2750358B2 (ja) * 1988-10-18 1998-05-13 フジコピアン株式会社 液状転写インク補給部材の製造方法
DE3914065A1 (de) * 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5007373A (en) * 1989-05-24 1991-04-16 Ionic Atlanta, Inc. Spiral hollow cathode
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
JPH03290274A (ja) * 1990-01-23 1991-12-19 Sanyo Electric Co Ltd 熱記録装置
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
US5228940A (en) * 1990-10-03 1993-07-20 Mitsubishi Denki Kabushiki Kaisha Fine pattern forming apparatus

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004356558A (ja) * 2003-05-30 2004-12-16 Toshio Goto コーティング装置およびコーティング方法
US7632379B2 (en) 2003-05-30 2009-12-15 Toshio Goto Plasma source and plasma processing apparatus
WO2004107825A1 (ja) * 2003-05-30 2004-12-09 Tokyo Electron Limited プラズマ源及びプラズマ処理装置
WO2005067023A1 (ja) * 2004-01-09 2005-07-21 Tokyo Electron Limited 基板処理装置
JP2008181704A (ja) * 2007-01-23 2008-08-07 National Institute Of Advanced Industrial & Technology 高密度プラズマ処理装置
JP2015156376A (ja) * 2007-02-15 2015-08-27 アッシュ・ウー・エフ 真空筐体内においてコールドプラズマを生成する装置及び熱化学処理に対する該装置の使用
JP2010519680A (ja) * 2007-02-15 2010-06-03 アッシュ・ウー・エフ 真空筐体内においてコールドプラズマを生成する装置及び熱化学処理に対する該装置の使用
KR100924881B1 (ko) * 2007-05-02 2009-11-02 동부일렉트로닉스 주식회사 반도체 웨이퍼의 세정 장치 및 그 세정 방법
JP2016006773A (ja) * 2008-08-04 2016-01-14 エージーシー フラット グラス ノース アメリカ,インコーポレイテッドAgc Flat Glass North America,Inc. プラズマ源、及びプラズマ強化化学蒸着を利用して薄膜被覆を堆積させる方法
US10580624B2 (en) 2008-08-04 2020-03-03 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20150002021A1 (en) 2008-08-04 2015-01-01 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
JP2015228375A (ja) * 2008-08-04 2015-12-17 エージーシー フラット グラス ノース アメリカ,インコーポレイテッドAgc Flat Glass North America,Inc. プラズマ源、及びプラズマ強化化学蒸着を利用して薄膜被覆を堆積させる方法
US20140216343A1 (en) 2008-08-04 2014-08-07 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US9478401B2 (en) 2008-08-04 2016-10-25 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10580625B2 (en) 2008-08-04 2020-03-03 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20150004330A1 (en) 2008-08-04 2015-01-01 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10438778B2 (en) 2008-08-04 2019-10-08 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10586685B2 (en) 2014-12-05 2020-03-10 Agc Glass Europe Hollow cathode plasma source
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US11875976B2 (en) 2014-12-05 2024-01-16 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US20170309458A1 (en) 2015-11-16 2017-10-26 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10559452B2 (en) 2015-11-16 2020-02-11 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions

Also Published As

Publication number Publication date
CA2126731A1 (en) 1995-01-13
EP0634778A1 (en) 1995-01-18
US5627435A (en) 1997-05-06

Similar Documents

Publication Publication Date Title
JPH0773994A (ja) 中空陰極アレイおよびこれを用いた表面処理方法
Selwyn et al. Materials Processing Using an Atmospheric Pressure, RF‐Generated Plasma Source
US5312519A (en) Method of cleaning a charged beam apparatus
US5221427A (en) Plasma generating device and method of plasma processing
US5466942A (en) Charged beam irradiating apparatus having a cleaning means and a method of cleaning a charged beam irradiating apparatus
US4980610A (en) Plasma generators
US6610257B2 (en) Low RF power electrode for plasma generation of oxygen radicals from air
US5240583A (en) Apparatus to deposit multilayer films
US5308461A (en) Method to deposit multilayer films
JPS63174321A (ja) イオン・エッチング及びケミカル・ベーパー・デポジション装置及び方法
JPH1055983A (ja) ファラデー・スパッタ・シールドを有する誘導結合されたプラズマリアクタ
JPS6254078A (ja) 陰極スパツタリング処理により基板に薄層を被着する装置
KR20000076338A (ko) 글로우 플라즈마 방전 장치
JP2002512656A (ja) スパッタリング装置
JPH06283470A (ja) プラズマ処理装置
JP2749630B2 (ja) プラズマ表面処理法
JP3621721B2 (ja) プラズマ処理方法及び処理装置
JPS6136589B2 (ja)
US6083356A (en) Method and device for pre-treatment of substrates
JPH03229886A (ja) 大気圧グロープラズマエッチング方法
JP2003077904A (ja) プラズマ処理装置及びプラズマ処理方法
JP2003049276A (ja) 放電プラズマ処理装置及びそれを用いた処理方法
JP3177573B2 (ja) 磁気中性線放電プラズマ処理装置
JPH06136544A (ja) プラズマ処理装置
US6879103B1 (en) Glow plasma discharge device