JPH07176601A - 準無限な熱源/熱シンク - Google Patents

準無限な熱源/熱シンク

Info

Publication number
JPH07176601A
JPH07176601A JP26572294A JP26572294A JPH07176601A JP H07176601 A JPH07176601 A JP H07176601A JP 26572294 A JP26572294 A JP 26572294A JP 26572294 A JP26572294 A JP 26572294A JP H07176601 A JPH07176601 A JP H07176601A
Authority
JP
Japan
Prior art keywords
temperature
gas
heat
void
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP26572294A
Other languages
English (en)
Inventor
Gregory W White
ダブリュー. ホワイト グレゴリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH07176601A publication Critical patent/JPH07176601A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Lubricants (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Amplifiers (AREA)
  • Physical Vapour Deposition (AREA)
  • Control Of Temperature (AREA)

Abstract

(57)【要約】 【目的】 隣合う2つの対象物間の空隙内のガスの熱伝
導率を変化させることで、精密なペデストラルの温度制
御を可能にする。 【構成】 隣合う2つの対象物の間に狭い間隙を設け、
間隙内に熱伝達流体としてガスを介在させる。2つの対
象物間のガス圧力を制御することにより、ガスの熱伝導
率が制御される。2つの対象物間の温度を等しくするた
めには、圧力を増加させる。一方の対象物の温度が高
く、他方の対象物を一定温度に維持したい場合には、ガ
ス圧力は真空に近い程に減圧され、断熱材として作用さ
せる。ウエハ処理中に、ペデストラルのような対象物の
温度を厳密に制御するために、局部的な熱シンクに局部
的な温度制御が適用される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、例えば、シリコンウエ
ハ処理チャンバ内のカソード又はウエハペデスタル等の
対象物と熱源/熱シンクとの間の狭い空隙におけるガス
の熱伝導率を変化させることによって、対象物の温度を
制御することに関する。
【0002】
【従来の技術】シリコンウエハを処理する際、優良な製
品は表面の均一性(surface uniformity)を要求する。
堆積処理であるか除去処理であるかに係わらず、表面の
均一性の達成は、エッチングや堆積のような処理操作中
にウエハが受けるシリコンウエハ温度とあらゆる熱勾配
に大きく依存し比例するものである。ウエハ温度の変化
は、ウエハ表面での活性率(rate of activity)の変化
を生じさせる。ウエハ温度が所望の処理温度の範囲外で
変化する場合、表面層堆積又は表面層エッチングによっ
て、表面状態に異常及び許容できないあらさ(roughnes
s )を生じさせるおそれがある。
【0003】処理温度の限度超過を防止し温度均一性の
維持を助けるために、冷却流体及び/又は加熱流体が、
処理されるウエハの近傍の/又は接触した処理チャンバ
部材を通って循環され、処理温度をその限度内に維持す
るのを助けるためにエネルギを加えたり取り除いたりす
ることが、よく行われている。
【0004】これらのシステムないしは系において、エ
ッチカソードの温度変化は、熱交換器、液体の管路及び
カソードの中の全ての循環液体の温度が変化されること
を必要とする。大きなエネルギ入力は局部的な温度を大
きく変化させるが、そのような変化に対する抵抗は、局
部的なエネルギ入力による温度上昇が弱められる前に、
全流体の温度が変化してしまうという事実によって、抑
えられ得る。システム全体の温度が変化し得る割合は、
熱源/冷却ユニットによってシステム全体に対してエネ
ルギが入力され/取り出され得る割合によって左右され
る。
【0005】図1は、現在の温度制御システムの例を示
したものである。熱伝達流体(化学的に処理された水で
あることもある)が入っている熱的リザーバ(thermal
reservoir )は、加熱/冷却ユニット28により加熱又
は冷却されるが、これは、コントローラ29により処理
リミット内で制御され、循環ポンプ21の吐出し部の温
度センサ22により感知された温度を維持するようにな
っている。熱伝達流体は、熱流体ライン(流路)23に
よって、ウエハ処理チャンバ25、26を通って循環さ
れる。ウエハ処理チャンバ25、26内のウエハ30
は、冷却流体31が通過する支持ペデスタル上に載置さ
れる。ある構成(冷却流体の流量、ポンプのサイズ、及
び管路のサイズを最小化する構成)においては、単一の
流体流路が数個のウエハ処理チャンバを連続して貫いて
いる。チャンバからの冷却流が不十分である場合、或
は、チャンバからのエネルギ入力が大きい場合、これら
のチャンバを連続して貫く冷却ループの終りに近いウエ
ハ処理チャンバにおける冷却流体は、所望の処理温度を
維持するのに十分なほどこれら終りのチャンバを冷却で
きない可能性がある。
【0006】他の構成として、(循環ポンプ21の吐出
し部の温度センサ22によって検出される)設定温度の
流体が、各ウエハ処理チャンバの導入口に送られ、各ウ
エハ処理チャンバが、その導入口で同じ温度の熱伝達流
体を受けとるよう、並列(parallel)冷却流システムが
使用されることがある(副熱流体ラインを示す破線24
によって表されている)。
【0007】これらのシステムにおいて、熱伝達流体の
温度を変化させる唯一の方法は、大きな熱的リザーバ2
7の大部分を、加熱又は冷却することである。この熱的
リザーバ27は、実際のウエハ処理チャンバ25、26
からある一定の距離があるので、流体温度の変化の検出
と、所望の範囲に液体温度を戻すための液体の適当な加
熱又は冷却との間には、時間的な遅れがある。
【0008】ウエハの処理チャンバ内への取扱い及びロ
ーディングの間は、ウエハは加熱されない限り周囲の温
度になっている。ウエハの取扱い及びローディングが完
了し、処理エネルギ(高周波電流)が突然与えられた後
に、温度の急激な変動(spike )が発生し、それは非常
に顕著なものである。
【0009】加熱装置/冷却装置(heater/refrigerato
r )は、熱伝達流体リザーバ内で、ウエハ処理チャンバ
内のウエハ/ペデスタル/カソードに対して高周波電流
を供給する前にウエハを加熱する流体を加熱するために
使用され、高周波電流が一旦供給された後は流体及びウ
エハを冷却する。高周波電流は、膨大なエネルギ入力
(約1000ワット)を与え、局部的な温度を急速に上
昇させる。
【0010】ウエハ処理温度は、ウエハ温度が定常状態
に到達し、ウエハ処理温度が最終的に安定するまで急激
に変化し得る。約90℃〜約100℃に熱伝達流体を再
加熱することは、高周波を最初に供給する場合の初期熱
的衝撃を減少させ、ウエハ表面温度処理の変化を減少さ
せる。しかしながら、温度を上昇させる傾向がある大き
なエネルギ流入をもって高周波電流が供給されたなら
ば、加熱された伝達流体は、ウエハ処理サイクル中に、
これを冷却して100℃に近い定常状態でウエハペデス
タル・表面温度を維持するために、迅速に冷却されなけ
らばならない。加熱から冷却へ移行が生じうる速度は、
リザーバ内の比較的大量の流体によって抑制され、その
流体の全ては所望の冷却温度へと冷却されなけらばなら
ず、或いは、第2の冷却リザーバ(cooled reservoir)
が使用されなければならない。配管の熱容量もまた急速
な移行を妨げる。温度変化が必要とされたならば、変化
された温度での流体は、最終的に処理チャンバに到達す
る前に、最初に供給管の全てを通って流体の温度を変化
させながら流れなければならない。この冷却への移行の
間は、ウエハ処理チャンバ内で大きな温度勾配が生じ、
又は生ずる可能性があり、この温度勾配は、ウエハの全
体又は一部分が不合格とされ得る好ましくないウエハ表
面状態を生ずることがある。
【0011】カソード内に存在する大きな電流及び電場
のために、カソードの電気的通路内の冷却液体通路(流
路)内で、概ね導電性があり且つ幾分腐食性があり、更
に簡単に入手可能である伝達流体、即ち処理された水を
使用することは、しばしば、冷却通路の腐食を加速させ
る。
【0012】図2は、典型的なウエハ処理−エッチチャ
ンバ36内でのウエハ38の部分断面図を示す。ウエハ
38は、ウエハペデスタル39上で、ウエハ押さえクラ
ンプリング(wafer held-down clamp ring)40から延
びているクランプフィンガ41によって、押さえ付けら
れている。ウエハ搬送/移動サイクル中に、ウエハ上昇
フィンガ43を支持するウエハ上昇フレームがペデスタ
ルからウエハを持ち上げることができるように、ペデス
タル39は、切欠きを有するペデスタル支持ベース42
によって支持されている。べローズ45は、ウエハ上昇
フレーム44を支持すると共に、エッチチャンバ壁37
内でエッチチャンバ36を封止しタイトシール(tight
seal)を形成する。ウエハ処理チャンバの外側に取り付
けられた上昇アクチュエータ47は、必要な時に、ウエ
ハを上昇させるためにリフトフィンガを動作させるもの
である。また、冷却水のコネクション(図示せず)が、
処理中にペデスタル支持ベース及びこの支持ベースにね
じ止めされたペデスタルを冷却するために、提供されて
いる。図3は、組み立てに使用する他の構成要素と共
に、上述した構成要素を表した分解図である。尚、他の
構成要素は、石英カバーリング40a、インシュレータ
40b及びクランプリングシリンダ40cである。
【0013】図4は、ウエハ支持ベース56の略分解図
を示し、ウエハ支持ベース56は、ウエハ上昇フィンガ
55を有するウエハ上昇ベース54を受けるように形成
された切欠きを有しており、ウエハ上昇フィンガ55
は、ウエハ52を支持するために、ペデスタル−カソー
ド53を支持し且つペデスタル−カソード53から突出
している。
【0014】
【発明が解決しようとする課題】これらの加熱/冷却系
(システム)は、エネルギを浪費し、エネルギ(温度)
が不足する周囲状況からエネルギ(温度)が過剰な周囲
状況までの移行の間、ウエハ温度を制御する限界的な仕
事を行う。処理温度変化を調節するためにウエハ加熱/
冷却システムの反応や調節をゆっくりと行うことは望ま
しくなく、処理されるウエハに許容できない不均一性を
発生させるおそれがある。
【0015】
【課題を解決するための手段及び作用】本発明は、隣合
う2つの対象物の間の空隙内のガスの圧力を制御するこ
とによって、これらの対象物間で高速に調整可能に制御
できる伝導を可能にする。半導体処理環境において、ウ
エハ支持部材(ペデスタル及び/又は他の関連する部
材)と局部的な熱シンク−金属塊(heat sink-slug)と
の間に非常に小さな空隙が形成される。この熱シンク−
金属塊は、周囲状態によって、又は、「従来の技術」の
欄に記載したシステムと同様な外部循環加熱/冷却シス
テムによって、比較的一定な温度に維持される。隣合う
部材間の小さな空隙は、数種のガス、好ましくは小さな
分子量で高熱伝導率のガスのうちの一以上によって充填
されておいる。この小さな空隙空間は、ガス通路を介し
て、圧力調整機構に接続されている。加熱又は冷却のい
ずれかの目的で一の部材から他の部材にエネルギを伝え
るために、ガス空隙におけるガスの圧力が上昇される。
小さなガス空隙空間、例えば、約0.001in〜0.0
03in(約0.03〜0.08mm)での高い圧力(1〜
3atm )におけるガスの高い濃度によって、当該ガスは
高温の隣接部材から低温の隣接部材へとエネルギを効果
的に伝達させる。部材間の熱伝導を最小にするために、
ガス空隙は非常に低い圧力(真空に達する程)となるま
で排気され、ガス空隙内での低い濃度のガスは良好な断
熱材として働く。
【0016】所定の対象物(ウエハペデスタルのような
対象物)の加熱及び冷却の両方が異なった時に要求され
る場合、別個独立した加熱及び冷却される熱源及びシン
ク(heated and cooled heat sources and sinks)を対
象物に取り付けることができる。これらの熱源及びシン
クのそれぞれの表面領域は個々に封止され、加熱・冷却
される熱源及びシンク(ペデスタル支持ベース)の近傍
に独立したガス空隙空間を形成する。2つの空隙内のガ
スの圧力を独立して制御することによって、熱源及びシ
ンクを、1つは加熱装置として、他は冷却装置として交
互に使用することができる。即ち、ウエハペデスタルの
ような対象物を加熱するためには、熱源空隙を加圧しウ
エハペデスタルの温度を上昇させ、これと同時に、冷却
熱シンク空隙内のガスの圧力を下げて熱伝導率を低減す
る。次に、熱源からペデスタルへの熱伝達が容易化され
ているので、ペデスタルは加熱され、熱シンク内への熱
損失は抑制される。これとは反対に、ペデスタルを冷却
するためには、冷却されたシンク(ペデスタル支持ベー
ス)に隣接する熱シンクガス空隙内のガスの圧力を増加
させて、ガス空隙を亘る熱伝導を良好にすると共に、熱
源ガス空隙内のガス圧力を減少させ熱伝導率を最小化す
る。この後、ペデスタルから冷却シンクへの熱伝達が容
易化されるので、ウエハペデスタルは冷却され、一方、
熱源からペデスタルへの熱伝達は抑制される。
【0017】熱伝達の割合(加熱/冷却)は、所望の低
速又は高速の加熱又は冷却を達成する目的でガス空隙の
圧力を無限に調整することによって、温度が維持され
る。このように、ガス空隙内で離れてガス圧力を制御す
る構成及び方法は、エネルギ入力の量にほぼ瞬間的な効
果を及ぼし、ウエハペデスタルから隔たっているため
に、ウエハペデスタルの温度を滑らかに且つ密接に制御
することができる。
【0018】ガス空隙は、ウエハペデスタルとそれに隣
接する熱源/熱シンクとの間の空隙を跨いでいるステン
レス鋼(低い熱伝導率)の帯を溶接することによって、
及び/又は空隙内にOリングを挟むことによって、シー
リングされてもよい。
【0019】熱伝達流体を局部的な熱源/熱シンクを通
って循環させることは、局部的な熱源/熱シンクの実効
熱容量を巨大なものにする。これは、熱的変化を生じさ
せるために必要な時間を減少させるため、結果として、
ウエハ処理中のウエハペデスタルの温度が以前可能であ
ったより、もっと均一に維持することができるようにな
る。
【0020】ガス空隙圧力(低圧で熱伝導率にほぼ直接
的に比例し、高圧で2/3のオーダーで比例する)の調
整がサーボ制御システムによって行われる場合、局部的
な熱源/熱シンクの実際の温度はほとんど重要ではな
い。サーボ制御システムは、目下所望の温度でウエハペ
デスタルの温度を制御するために、ガス空隙圧力(熱伝
導率)を自動的に調整する。
【0021】ガス空隙を跨がった隣接部材間の熱伝導率
の割合は、ガス空隙内のガスと反応させるために使用可
能な複数の部材の表面領域に依存している。与えられた
領域における熱伝導率を増加させるためには、これらの
複数の部材の組み合う表面に、尾根−谷状のパターンを
形成することによって、隣接する複数の部材の表面領域
を増加させることが有利である。
【0022】熱伝導に用いられる小さな分子量のガスを
損失することを避けるために、拡張できる閉じられた系
が使用されてもよい。この閉じられた系は、ガス空隙、
外部の特定位置へ通じる小さな狭い(小容積)通路、及
び閉じられた系を形成するために小さな狭い通路にシー
リングされた外部の特定位置に取り付けられたべローズ
を包含する。べローズは次に容積を増加させたり減少さ
せたりするために、機械的に又はガス圧力によって、伸
長されたり圧縮されたりして、閉じられた系の圧力を増
加させたり減少させたりしてもよい。ガス空隙及び通路
の小さな容積は、べローズ容積の変化を変化させ、非常
に広い圧力範囲にわたって閉じられた系の圧力を調整で
きるようにする。べローズの伸長は、高い真空(数トル
に達するような)を達成させ、べローズの圧縮は高い圧
力(数atm に達するような)を達成させる。
【0023】熱伝導ガスとして水素が使用される場合、
ガス通路にパラジウムメディアを内部に有する閉じられ
た固定形状のチャンバを用いることによって、べローズ
の使用を避けることができる。水素はパラジウムに吸着
し、パラジウムが加熱された時には水素は追い出され
る。高レベルの真空を達成するためには、チャンバは加
熱されない状態に放置され、高い熱伝導率を得るために
所望の高圧を達成するためには、チャンバは加熱されて
パラジウムから水素が追い出される。
【0024】ガスシリンダ又は同等のものからの直接ガ
ス圧力を使用したり、所望の種々のガス圧力及び熱伝導
率を達成するために真空源に接続されたりすることも可
能である。しかしながら、経済的且つ一般的に入手可能
なガスの数種(窒素、アルゴン)は、空隙に充填される
高い熱伝導率を有するガス(水素、ヘリウム)に比較し
て、ガス空隙を亘るエネルギを高い効率で伝達するよう
な高い熱伝導率を有していない。
【0025】異なる温度において隣接する部材間のガス
空隙の熱伝導率を変化させることによる局部的な温度制
御は、種々の厳格な処理の適用事例における周囲の熱及
び温度の状態の急速な変化にもかかわらず、半導体ウエ
ハ処理に用いられる部材温度の制御を更に正確に保つた
めに、非常に有効且つ適当である。本発明は、熱エネル
ギの大きな入力又は除去が存在するにもかかわらず、比
較的均一な温度に維持されなくてはならない大きな対象
物又は大きな熱的容量を伴った対象物に特に有利であ
る。また、制御される対象物が構成される材料に依存せ
ずに適用することができる。例えば、対象物は金属又は
セラミックから作られていてもよく、液体までも含めて
もよい。
【0026】
【実施例】以下において詳細に説明するように、本発明
は、熱エネルギ入力の変化により温度が変化する熱的な
大きさ(thermal mass)を有するあらゆる対象物の温度
を制御するのに適用可能である。温度制御は、好ましく
は低い分子量のガスで満たされた封止された空間(空
隙)と、“局部的な”熱源/熱シンク("local" heatso
urce/sink)と、好ましくは、必ずという訳ではない
が、“全体的な”熱源/熱シンク("global" heat sour
ce/sink )と、温度を制御するための温度制御装置とを
用いて達成される。封止された空間(空隙)は、温度が
制御されるべき対象物と局部的熱源との間に形成され
る。ガスはこのガス空隙に封入される。ガス空隙内のガ
スの圧力を変化させることは、ガス空隙の熱伝導率を円
滑に変化させる。ガス空隙の熱伝導率が隣合う部材間の
熱伝達を制限する要因である限り、空隙の熱伝導率を変
化させることによって、対象物(例えば、半導体ウエハ
を支持するペデスタル)の温度を制御することができ
る。この温度制御は、適切な方向に温度差が存在する限
り可能であろう。この可変熱伝導率の利点は、独立した
全体的熱源/熱シンクが(例えば、流体導管によって)
局部的熱源/熱シンクに接続され、局部的熱源/熱シン
クを極めて小さな変動で或る温度差に維持するために低
精度(crude )の温度制御システムによって運転され得
る点にある。その一方で、熱伝導率制御装置は対象物の
温度を細かく制御する。これは、全体的熱源/熱シン
ク、従って局部的熱源/熱シンク自体のどのような温度
変動にも拘らず、行うことができる。
【0027】一以上の局部的熱源/熱シンクが温度制御
を必要とする金属に接続されるのがよい。それぞれ一つ
の機能のみについて、熱シンクと熱源との両者を同時に
用いる場合、対象物の温度は、熱シンクの温度と熱源の
温度との間にある前もって選択された温度に容易に制御
され得る。本発明に従って熱シンクと熱源との両者を同
時に使用することにより、熱伝達媒体(オイル、水等)
の温度を変化させることなく、ペデスタル/カソードの
ような堅固な対象物の温度を変化させ得るという、大き
な利点が得られる。このようなペデスタルが温度を変化
できる割合は、ガス空隙スペースの熱伝導率、局部的熱
シンクの熱容量及び局部的熱源の容量にのみ依存してい
る。
【0028】局部的熱源/熱シンクの容量は、それを中
空に形成し且つまたその中を循環する熱交換流体を介在
させることによって、非常に大きくすることができる。
このように、局部的熱源/熱シンクの実効熱容量は巨大
であり、従って「準無限な(quasi-infinite)」熱源/
熱シンクである。局部的熱源/熱シンクが中空であるか
否かに拘らず、局部的熱源/熱シンクの温度を安定化さ
せるために当該局部的熱源/熱シンクに対して必要量の
熱を供給したり減じたりするよう、外部の加熱源又は冷
却源に熱交換流体を循環させることによって、局部的熱
源/熱シンクの実効熱容量を上記と同様に大幅に増加さ
せることができる。
【0029】半導体ウエハ処理に適用される本発明の実
施例が図5に示されている。温度が制御されるべき金属
対象物62(ウエハ処理チャンバ内のウエハ支持ペデス
タル/カソードのような対象物)は、エッチチャンバ6
1内でシーリング(封止)され支持されている。ペデス
タル62の下面は、平坦なものやその他の形状であって
もよいが、一連の同芯円形の凸凹(ridges and valley
s)に構成され、ペデスタル62の底面を横切る方向に
鋸歯状パターン66を形成している。ペデスタルベース
(局部的熱源/熱シンクとして働く)70は、ペデスタ
ル下面と適合ないしはマッチする形状の表面を有し、こ
の場合は、ペデスタル62の鋸歯状下面66と噛み合う
鋸歯状上面67を有している。これらの部材は噛み合っ
ているが、両者間に広い領域にわたって小さな気体容量
を与える0.03mm〜0.08mm(0.001in〜0.
003in)の空隙を維持している。これらの部材は、他
の部材といかなる点においても接触しないように、十分
な剛性を有する材料(例えば、アルミニウム)から構成
されている。特に溶接68によってシーリングされた場
合、シーリングリング65(同様な多くのシーリング材
料や他の金属を使用することもできるが、この場合、3
XXシリーズのステンレス鋼から作られている)は、表
面の縁部のシー リングを可能としている。Oリングのよ
うな他のシーリング手段や、ペデスタルベース70とペ
デスタル62とをボルト結合することも同様に使用され
得る。封入物には、好ましくは分子量の小さなガス、例
えば水素、ヘリウム、ネオン及び窒素等が用いられる。
これらのガスは、他のガスに比べて高い熱伝導率を有す
るので有利である。しかし、大抵の他のガスも同様に働
くであろうが、おそらくは、これらのガスほど効率よく
働かないであろう。
【0030】ガス空隙64は、通路76によって、圧力
制御機構75に接続されている。図6は、圧力制御機構
75の一例を示した図である。また、通路81が、図5
に示す通路76と互いに関連している。べローズ80
は、ガス空隙64内で用いられるガスを閉じられた系に
閉じ込めるために、通路81の一端にシーリングないし
は封着されている。べローズが伸ばされた場合、ガス空
隙及びべローズ内部のガスの濃度(concentration )は
低下され、低圧(ほぼ1〜10トルの高真空度)とされ
得る。その一方で、べローズが圧縮された時には、閉じ
られた系内のガスを圧縮することによって、3atm もの
圧力が得られる。得られた圧力は、閉じられた系の容
積、及び、べローズの容積の変化と一定の関係となって
いる。図6に示されるべローズは、それ自体が、通路8
3により圧力レギュレータ84に接続されるべローズ閉
じ込めチャンバ(bellows enclosing chamber )82内
に包含されていることによって、操作される。べローズ
のひだにおける非常に小さな曲げ抵抗力を除いては、べ
ローズ内の圧力はべローズの外側の圧力に大体等しくな
る。従って、圧力レギュレータ84によるべローズ閉じ
込めチャンバ82内の圧力の調整によって、ガス空隙6
4内の圧力が直接的に調節されることとなる。
【0031】ペデスタル62(図5)の温度は、温度セ
ンサ63によって感知される。温度センサ63は温度コ
ントローラ69に信号を送り、次に、この温度コントロ
ーラはライン85を介して圧力制御機構75(図6に示
す圧力レギュレータ84)に、検出された温度と一定の
関係にある制御信号を供給する。空隙内のガス圧が高く
なると空隙における熱伝導はより高くなり、一方、空隙
内のガス圧が低くなると空隙における熱伝導は逆とな
る。感知された温度に伴った圧力のこのような変化は、
制御信号によって、ペデスタルの温度を変化させること
ができる。
【0032】上述したシステムはペデスタル62の温度
を制御するために局部的熱源/熱シンク70のみを必要
とするが、局部的熱源/熱シンク70は、全体的熱源/
熱シンク73に接続された場合、更に大きな効果を有す
る。この接続は、全体的熱源/熱シンクがペデスタル6
2と局部的熱源/熱シンク70とから離れて配置される
ように、流体導管77及び78を介して為される得る。
流体は、循環ポンプ71によって、局部的熱源/熱シン
ク70内の熱交換通路を通って、局部的なシンクと離れ
たシンクとの間を循環する。次に、第2の温度センサ7
2によって感知される流体の温度は、全体的熱源/熱シ
ンク73の温度を制御する第2の制御装置74によって
制御され、その結果として、局部的熱源/熱シンク70
の温度が制御される。この系を用いると、熱伝達流体の
大きな温度変動や、全体的熱源/熱シンクの温度を上げ
たり下げたりする際の遅れは、ペデスタル62の温度に
殆ど影響を及ぼさない。それは、制御装置69及び圧力
制御装置75が、ペデスタル62の温度をできるだけ維
持するようにペデスタル62と局部的熱シンク70との
間の熱伝導率をすでに調整してあるためである。しかし
ながら、ペデスタル62の温度を制御するための局部的
な温度制御装置69の能力は、そこに熱流を発生させる
ために温度差が必要であることから、局部的熱源/熱シ
ンク70とペデスタル62との間における温度差の存在
に依存している。全体的熱源/熱シンク73と局部的熱
源/熱シンク70との間の熱伝達は、上述した温度差を
維持するために、また、熱源/熱シンク70の温度を極
めて小さな変動で保つために、第2の温度センサ72に
よって比較的大まかなリミット内で制御されてもよい。
例えば、全体的熱源/熱シンク73を制御するために
は、例えば、必要な時間、熱源と単に繋いだり切り離し
たりするためには、パルス幅変調を利用するだけで十分
なことが多い。
【0033】図7、図8及び図9は、温度制御機構75
の他の実施例を示したものである。
【0034】図7において、ガス空隙91(このガス空
隙91と図5に示す通路76とは互いに関連している)
に接続している通路は、べローズ90に接続されてい
る。べローズ90は、機械式べローズ操作装置93に接
続された堅固な端部を有している。機械式べローズ操作
装置は、べローズ90の端部とべローズ92を取り囲む
フレームとの間に接続されている。モータ又は他のアク
チュエータ手段94は機械式ベローズ操作装置に接続さ
れ、当該モータ又はアキチュエータ94が駆動された時
に、制御装置69からの制御信号95に従ってべローズ
の端部が調整されるようになっている。べローズが伸ば
された場合における周囲の外部圧力によるべローズの小
さな圧縮変形は無視できる。閉じられた系は、閉じられ
た系の容積を変化させることによって、加圧されたり減
圧されたりする。
【0035】図8において、パラジウム閉じ込めチャン
バ(palladium enclosing chamber)101は、ガス空
隙99に接続され通路76に関連する通路に封着されて
いる。このパラジウム閉じ込めチャンバ101は、メッ
シュ又は多孔性の玉(porousbeads)のような理想的に
は非常に多孔性の容易に入手可能なパラジウム源から成
るパラジウム媒体(palladium medium)100を包含す
る。図8の構成は、ガス空隙内で小さな分子量のガスと
して水素が用いられた時にのみ機能する。水素分子はパ
ラジウムに非常に強く引き付けられ、低い温度(周囲室
内温度、21℃(70゜F))で、水素分子は選択的に
それ自体をパラジウム表面に付着させる。低い温度にお
いては、パラジウムによる水素分子の吸収は、ガス空隙
閉鎖系及びパラジウム閉じ込めチャンバ101内に真空
を生ずる。ガス空隙の圧力を上げるために、1組の可変
的に調節可能な加熱コイル102を作動し、パラジウム
100の温度を上昇させると共に、パラジウムに対する
水素分子の吸収を減じることとしている。パラジウムが
所定の温度(実験によって確立された温度)に達する
と、水素分子の大部分は、それらが加熱されているとい
う理由で、パラジウムの表面から放逐される。閉じられ
た系において自由な分子が高い濃度になることによっ
て、系内のガス圧力が上昇する。数atm (several atmo
spheres )にも達するほどの圧力を、ガス空隙及び接続
する通路に生じさせることができる。パラジウム媒体1
00からの水素分子の分離に影響を与える加熱コイル1
02の温度は、制御装置69から受け取った制御信号1
03によって制御される。他の吸収材料が水素やその他
のガスと共に用いられてもよいが、これは同様の結果を
得るために適合可能(コンパチブル)なものとする。
【0036】制御装置69は、フィードバック機能を有
する制御装置である。制御装置69は、所望の設定値に
ペデスタル62の温度を維持するように自動調節を行
い、たとえ仮に、局部的熱シンクの温度、及び/又は、
ガスの圧力関係(即ち、望ましくないガス漏れ等によ
る)が変化したとしても、この設定値を維持しようとす
る制御信号を発生する。この非常に融通性のある制御装
置は、ペデスタル62の温度を制御する場合において、
優れた働きをする。
【0037】図9は、圧力制御機構75の他の実施例を
示している。この構成においては、上述した小さな分子
量のガスの1つ、或は、簡単に入手でき且つ経済的な窒
素又はアルゴンのようなガスが、ほぼ閉じられた系にお
ける熱伝達ガスとして用いられる。2個の自動弁10
9、110がT字状の継手112を取り囲み、そのT字
状の継手112は、ガス空隙108に接続される通路
(図5に示す通路76と互いに関連している)に接続さ
れている。圧力が必要とされる場合、制御信号112は
ガス入口弁109を開弁させると共にガス弁110を閉
弁させる。また、圧力降下が必要とされる場合、制御信
号112は自動出口弁110を開弁させると共に入口弁
109を閉弁させる。自動入口弁109は、ガス源か
ら、即ち窒素ないしはアルゴン等の源から供給される。
自動入口弁109は、調整が必要となる時に、圧力設定
が制御装置により調整され、閉弁位置で完全に弁を閉じ
た状態になることが要求される制御可能な圧力制御弁と
することができる。自動出口弁110も同様に圧力調整
弁或はオン・オフ弁とすることができ、この弁は真空源
に接続され、ガス入口弁109が閉弁されている時に真
空源が許容するほどの低い圧力を与えるようになってい
る。
【0038】本発明の一適用例としては、ウエハが載置
されるペデスタル/カソードの温度制御を助力するため
に、半導体ウエハ処理チャンバにおいて本発明を使用す
るというものがある。かかる使用例の簡略化した分解図
が図10に示されている。その詳細部と内容について
は、「従来の技術」の欄に説明されている。ウエハ11
6はペデスタル/カソード117上に載置される。処理
と処理との間や処理チャンバと処理チャンバとの間でウ
エハを移動させる場合、ウエハを上昇させ取り扱うこと
が必要であるので、ウエハを上昇させるためにフレーム
118又は同様な装置にウエハ上昇フィンガを備えるこ
とが必要である。図示するような従来のウエハ上昇フィ
ンガ及びフレーム118を用いた場合。ウエハ上昇フィ
ンガ及びフレーム118を上昇又は下降させるために、
ペデスタル支持部材122の中心穴のような、中心に又
は少なくとも軸対称な手段、即ち通路を有することが必
要である。ペデスタル支持ベース(金属塊(slug)ない
しは局部的熱シンク)123は、ウエハ上昇フィンガ及
びフレーム118を収容するためにウエハ上昇用切欠き
121を有している。従って、この高さにおいてガス空
隙のためのとぎれのない表面は得られず、この例におい
ては、Oリングによって領域を隔てられた4つの独立し
た表面が、ウエハ上昇切欠き121の間のペデスタルベ
ースの分割部の上面に示されている。ウエハ上昇切欠き
121の間のペデスタルの表面上のガス空隙領域119
はOリング115によって境界が定められており、これ
らのOリング119は溝内に取り付けられたもの或は専
用のものであり、領域119を囲うように形成されれて
いる。それぞれのガス空隙領域119は、外部120へ
のガス通路を含んでいる。これらの通路は、図5に示す
ガス通路76と互いに関連している。
【0039】図11は、ウエハ切欠き121(図10参
照)の下にガス空隙表面を配置するという本発明の一実
施例を示している。2ピース型ペデスタルベース125
が設けられ、3XXシリーズのステンレス鋼で作られた
図12に示すような円形のシーリングリング126が、
空隙をしっかりとシーリングするために空隙の外側と内
側とに電子ビーム溶接されている。この3XXシリーズ
のステンテス鋼は、低い熱伝導率を有している。それゆ
え、ガス空隙におけるエネルギの伝導は非常に小さなも
のとなる。図12に示されているように、ペデスタルベ
ース125の中心穴はペデスタルベースを貫通して延び
ており、また、ガス空隙を維持するためにシーリングさ
れなければならない。内側シーリングバンド127が、
ガス空隙の内側表面をシーリングするために、電子ビー
ム溶接によって内部穴に溶接されている。ガス128へ
の接続通路は、図5に示す接続通路76に関連してい
る。また、図11は、溶接されたステンレス鋼のバンド
によりシーリングされた状態のガス空隙の構成の全体図
を提供しているが、このバンドはOリング接続やねじ接
続で置き換えられ得る。シーリングは、図13に示すよ
うに、Oリング135,136と、ペデスタルベースの
上部部分上のねじ部138とによって行われ、このペデ
スタルベースの上部部分のねじ部138は、内側にねじ
が切られた接続リング(ナット)140を有し、このリ
ング140は、ペデスタルベース底部133を引き寄せ
てペデスタルベース上部132に近づけ緊密となるよう
にペデスタルベースフランジ139を受けるリップを有
している。ガス空隙137への接続通路は図4に示す通
路76と互いに関連している。Oリングはバイトン(Vi
ton )から作られるのが好ましい。Oリングは外側のね
じ接続により完全に圧縮されるので、中心穴でのねじ接
続は不用である。
【0040】加熱又は冷却の一方のみではなく、加熱と
冷却の両方が望まれる場合は、互い違いの領域又は区域
(金属の塊)が、冷却領域及び加熱領域を互いに隣接し
て設けるよう、ペデスタルベースの下部に形成され得る
(図14)。ペデスタルベースの上部部分は、上述した
実施例とほぼ同様である。しかし、ペデスタルベースの
底部部分は、2つの環状部分、即ち加熱部分146及び
冷却部分145から成っている。これらの2つの部材
は、断熱部材(好ましくは、あま繊維(Fiber Flax)又
は他の適当な断熱材料から作られたもの)147を介し
て接続され、そして、これらはペデスタルベースの上部
部分に組み合わされた場合、内側部分のガス空隙部15
2と外側部分のガス空隙部153とを形成する。Oリン
グ148、149、150及び151は、それぞれのガ
ス空隙部をシーリングする。ペデスタルベースの上部部
分及び底部部分は、上述した種々の手段によって、互い
に固定され得る。内側ペデスタルベースのガス空隙への
ガス通路154は、冷却用のペデスタルベース下部部分
の内側部分145からの圧力/熱伝導率の調節を可能に
し、また、ガス通路155は外側部分153のガス空隙
へのガス通路153を提供し、これは、加熱用のペデス
タルベース下部部分の外側部分146とペデスタルベー
ス上部部分との間の熱伝導率を調整する。この構成にお
いて、ガス空隙空間部分152、153のいづれかを加
圧又は減圧することは、ペデスタルベースの上部部に対
する加熱又は冷却を可能にし、これらのガス空隙空間の
熱伝導率の制御は、局部的熱源とシンクの2つの両極端
の温度(それぞれ、加熱された金属部分146及び冷却
された金属部分145のもの)の間のいかなる温度をも
得ることを可能とする。これらの部分は外部の源によっ
て加熱又は冷却され、例えば、個々独立した熱伝達流体
系がこれらの部分内で循環を形成し、それぞれの温度を
維持するようにすることができる(図示せず)。2個以
上の部分を制御する温度制御装置が、直接的に、又は制
御信号を他の独立の部分温度制御装置に供給することに
よって、空隙内のガス圧力を調節する。この構成は、特
に、加熱と冷却の移行の間に、ウエハペデスタルの温度
に関して非常に厳格な制御を可能とすると共に、大きな
融通性(フレキシビリティ)を与える。
【0041】図15は、加熱と冷却の間のこの移行につ
いての他の実施例を示している。ペデスタルベースの上
部部分160は前と同様に一体構成(monolith)のもの
であり、面積を増加させるための溝が刻まれた底面を有
し、その底面は、交互に設けられた加熱部分及び冷却部
分161、162、163、164から構成されたペデ
スタルベース底部部分と噛み合う。これらの加熱部分及
び冷却部分は、断熱層(例えば、あま繊維(Fiber Fla
x)から形成されている)166によって分割され、ガ
ス空隙領域はOリング165によって分割されている。
ペデスタルベースの直径方向における交互の加熱領域及
び冷却領域は、加熱及び冷却操作が選択された時、ペデ
スタルベースから加熱源及び冷却源の比較的均一な分配
を可能にする。多数の加熱部分及び冷却部分は、加熱ガ
ス空隙及び冷却ガス空隙の全てが協働するように、互い
に連成(manifolded)されなければならない。ガス空隙
部分への通路が長くなりすぎて、従ってガス空隙室内の
ガスの体積が著しく増加した場合、この構成を用いるた
めには、更に大きな変位のべローズを用いるか、又は、
ガス源から直接若しくは真空源に直接、圧力を導くこと
が必要とされる。ここに示された外側シーリングリング
は、ペデスタルベースの対応の上部部分及び下部部分に
対する溶接168によって隣合う部材を接続するステン
レス鋼の帯である。ペデスタルベースの上部部分及びペ
デスタルベース下部の接合において、ねじによる接合又
は締め付けによる接合は、多種多用の接合の数例を示し
ているにすぎない。
【0042】本発明について特定の具体例に関して説明
したが、本発明の精神と範囲から逸脱することなく、形
態及び詳細部において変更を加え得ることは当業者なら
ば理解できるであろう。
【0043】
【発明の効果】本発明は、2つの隣合う対象物間に空隙
を形成し、この空隙内に充填されたガスの圧力を制御す
ることによって、対象物間の熱伝導率を高速に変化させ
ることを可能にする。特にウエハ処理において、ペデス
タルの温度を一定に保つために、ペデスタルに空隙を隔
てて局部的な熱源/熱シンクを配置することにより、ペ
デスタルの精密な温度制御が可能になる。
【図面の簡単な説明】
【図1】複数の半導体処理チャンバ用の従来の冷却シス
テムを示す概略図である。
【図2】従来技術におけるエッチング用のウエハ処理チ
ャンバの断面図である。
【図3】図2に示す構成要素の分解図である。
【図4】従来技術におけるペデスタル、ペデスタル支持
部材及びウエハ上昇フィンガフレームの上方にあるウエ
ハを示す斜視図である。
【図5】本発明によるエッチチャンバ及びウエハペデス
タルの概略図である。
【図6】図5の詳細模式図であり、本発明に従って圧力
を制御するための囲まれたベローズの使用を示してい
る。
【図7】図5の詳細模式図であり、本発明に従って圧力
を制御するための機械式べローズ操作装置の使用を示し
ている。
【図8】図5の詳細模式図であり、本発明に従って圧力
を制御するためのチャンバ内の吸着材料の使用を示して
いる。
【図9】図5の詳細模式図であり、本発明に従って圧力
を制御するための外部源からの直接接続の使用を示して
いる。
【図10】ペデスタル底部にガス空隙が設けられてい
る、本発明による一実施例の斜視図である。
【図11】ペデスタルベース内にガス空隙が設けられて
いる、本発明による一実施例の斜視図である。
【図12】ガス空隙をシーリングするためにペデスタル
ベースに溶接されるリングを形成するステンレス鋼バン
ドを使用している、本発明による一実施例の断面図であ
る。
【図13】ペデスタルベース内のガス空隙をシーリング
するためにOリング及びねじ付きリングを使用してい
る、本発明による一実施例の断面図である。
【図14】本発明に従った、ペデスタルベースにおける
隣合う加熱ゾーン及び冷却ゾーンを示す断面図である。
【図15】本発明に従った、数個の互いに隣合う高温領
域と低温領域の一実施例を示す断面図である。
【符号の説明】
61…エッチチャンバ、62…金属対象物、63…温度
センサ、64…ガス空隙、65…シーリングリング、6
7…鋸歯状上面、68…溶接、69…温度制御装置、7
0…局部的熱源/熱シンク、71…循環ポンプ、72…
温度センサ、73…全体的熱源/熱シンク、74…制御
装置、75…温度制御機構、76…通路、77,78…
流体導管、80,90…べローズ、84…圧力レギュレ
ータ、93…機械式べローズ操作装置、100…パラジ
ウム媒体、101…パラジウム閉じ込めチャンバ、10
2…加熱コイル、109,110…自動弁、112…継
手、117…ペデスタル/カソード、118…ウエハ上
昇フィンガ及びフレーム、123…ペデスタル支持ベー
ス、125…ペデスタルベース、126…シーリングリ
ング、132…ペデスタルベース上部部分、133…ペ
デスタルベース底部部分、140…接続リング、148
〜151…Oリング、145…冷却用内側部分、146
…加熱用外側部分、152,153…ガス空隙部分、1
60…ペデスタルベース上部部分、161〜164…加
熱部分及び冷却部分、166…断熱層。

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】 第1の熱伝達表面を有する第1の対象物
    から、調整可能に熱を加え或は除去するための装置にお
    いて、 前記表面の実質的な部分間に狭い空隙を画成するよう
    に、前記第1の表面の近傍に配置され、第2の熱伝達表
    面を有し、且つ熱を蓄える能力を有する第2の対象物
    と、 該空隙内にガスを閉じ込める前記空隙の回りの気密シー
    ルと、 前記空隙と連通し、前記空隙内で制御信号に応答して上
    記ガスの圧力を制御する圧力制御装置と、 前記対象物の温度を感知し、且つ前記対象物の上記温度
    の関数である前記制御信号を前記圧力制御装置に供給す
    る温度センサとを有する装置。
  2. 【請求項2】 上記第1の対象物について、ある温度差
    で前記第2の対象物を維持するために、前記第2の対象
    物に接続された熱源/熱シンク(a source/sink )を更
    に含む請求項1記載の装置。
  3. 【請求項3】 第2の空隙を形成するために、前記第2
    の対象物に類似し、前記第1の熱伝達表面と同等の関係
    を有する第3の対象物と、熱シンクとして作用する前記
    第2の対象物と、前記第2の対象物と前記第3の対象物
    のそれぞれの温度間で前もって選択された値へ上記第1
    の対象物の温度の制御を容易化するために、熱源として
    働く前記第3の対象物とを更に備えた請求項1記載の装
    置。
  4. 【請求項4】 上記第1の対象物が前もって選択された
    温度を急速に得ることができるように、1つの前記空隙
    内で上記圧力を増加させると同時に、他の前記空隙内の
    上記圧力を減少させる制御装置を更に含む請求項1記載
    の装置。
  5. 【請求項5】 前記第1の対象物が金属材料から成る請
    求項1記載の装置。
  6. 【請求項6】 準無限なエネルギ源/エネルギシンク系
    において、 温度が制御され、第1の熱伝達表面を有する対象物と、 第2の熱伝達表面を有する前記対象物の近傍に配置され
    た局部的な熱シンクであって、前記第1の熱伝達表面の
    実質的な部分と前記第2の熱伝達表面の実質的な部分と
    の間にシーリングされた空隙が形成され、前記空隙がガ
    スで充填され、前記第1の熱伝達表面と前記第2の熱伝
    達表面とが前記対象物と局部的な熱シンクとの間に接触
    部(contact )の実質的な部分を備える前記局部的な熱
    シンクと、 前記対象物の上記温度を感知し、温度制御装置に信号を
    供給する温度センサであって、前記温度制御装置は前記
    空隙に接続された圧力制御機構に制御信号を供給し、前
    記制御機構は対象物と局部的な熱シンクとの間の熱エネ
    ルギの上記伝達を制御するためにシーリングされた上記
    空隙内でガスの上記圧力を制御する前記温度センサと、
    を備える準無限なエネルギ源/エネルギシンク系。
  7. 【請求項7】 追加的な熱シンクの容量を提供するため
    に、前記局部的な熱シンクに接続された全体的な熱シン
    クを更に備える請求項6記載の準無限なエネルギ源/エ
    ネルギシンク系。
  8. 【請求項8】 内部を貫通して熱伝達させるための流体
    通路を含み、前記全体的熱シンクは、第1の温度で前記
    流体を前記局部的な熱シンクへ供給する請求項7記載の
    準無限なエネルギ源/エネルギシンク系。
  9. 【請求項9】 前記第1の熱伝達表面の表面領域が、一
    連の凹凸部(ridgesand valleys)を含む請求項6記載
    の準無限なエネルギ源/エネルギシンク系。
  10. 【請求項10】 前記圧力制御機構は、前記ガス空隙に
    接続されたべローズを包含し、該ガス空隙及び該べロー
    ズは閉じられたガス空隙系を形成し、該べローズはべロ
    ーズ閉じ込めチャンバ内に閉じ込められ、 前記べローズ閉じ込めチャンバ内の圧力は、前記温度制
    御装置によって制御される圧力レギュレータによって制
    御され、 前記べローズ閉じ込めチャンバ内の圧力にガス空隙系内
    の圧力を実質的に等しくするために、べローズ閉じ込め
    チャンバ内の圧力変化に該べローズ容積を自動的に調節
    する請求項6記載の準無限なエネルギ源/エネルギシン
    ク系。
  11. 【請求項11】 前記圧力制御機構は、前記空隙に接続
    されたべローズと、閉じられたガス空隙系を形成するガ
    ス空隙及びべローズと、べローズ閉じ込めフレーム内に
    閉じ込められた前記べローズと、上記べローズと前記べ
    ローズ閉じ込めフレームとの間を接続する機械式べロー
    ズ操作装置とを含み、 前記機械式べローズ操作装置は、上記ガス空隙系の容積
    を増加させたり減少させたりするために、上記べローズ
    を伸長させたり圧縮させたりでき、上記べローズ閉じ込
    め操作装置は、前記温度制御装置によって制御され、 上記機械式べローズ操作装置は、上記ガス空隙系内の上
    記ガスの熱伝導率を制御するために上記べローズ内の圧
    力を調節する請求項6記載の準無限なエネルギ源/エネ
    ルギシンク系。
  12. 【請求項12】 前記ガス空隙に充填される前記ガス
    は、ガス吸着材料に吸着性を有し、 前記圧力制御機構は、前記ガス空隙に接続された吸着性
    材料閉じ込めチャンバを包含し、上記ガス空隙及び吸着
    性閉じ込めチャンバは、閉じられたガス空隙系を形成
    し、ガス吸着性材料メディアは前記吸着性材料閉じ込め
    チャンバ内に配置され、前記吸着材料閉じ込めチャンバ
    は加熱源の付近に配置され、 前記加熱源の活動は前記温度制御装置によって制御され
    る請求項6記載の準無限なエネルギ源/エネルギシンク
    系。
  13. 【請求項13】 前記加熱源は、前記吸着性材料閉じ込
    めチャンバを取り囲む一連の加熱コイルを含む請求項1
    2記載の準無限なエネルギ源/エネルギシンク系。
  14. 【請求項14】 準無限なエネルギ源/エネルギシンク
    系において、 温度が制御され、第1の熱伝達表面を有する対象物と、 前記対象物の近傍に配置された局部的な熱シンク、前記
    第1の熱伝達表面に面する第2の熱伝達表面を有する前
    記熱シンク、前記対象物の近傍に配置された局部的な熱
    源、及び、前記熱シンクの近傍に配置され且つ前記熱シ
    ンクから断熱された前記熱源、を備える局部的な熱源/
    熱シンクと、 前記第1の熱伝達表面の実質的な部分と前記第2の熱伝
    達表面の実質的な部分との間に形成された第1のシーリ
    ングされた空隙であって、第2のシーリングされた空隙
    は、前記第1の熱伝達表面の実質的な部分と前記第3の
    熱伝達表面の実質的な部分との間に形成され、前記第1
    の空隙及び前記第2の空隙はガスで充填される前記第1
    のシーリングされた空隙と、 前記対象物の温度を感知し、温度制御装置に信号を供給
    する温度センサであって、第1の制御信号を前記第1の
    空隙に接続された第1の圧力制御機構に供給し、第2の
    制御信号を前記第2の空隙に接続された第2の圧力制御
    機構に供給し、前記第1と前記第2の制御機構は、前記
    対象物と前記局部的な熱シンク及び前記局部的な熱源と
    の間の熱エネルギの上記伝達を制御するために、前記第
    1及び前記2のシーリングされた空隙のガスの上記圧力
    を制御する前記温度センサとを備えた準無限なエネルギ
    源/エネルギシンク系。
  15. 【請求項15】 第1の対象物へ又は第1の対象物から
    熱を伝達するための方法であって、 上記第1の対象物の近傍に熱を蓄える能力を有し、上記
    第1の対象物の相補型(complementary )表面に合致す
    る熱交換表面を備えた前記第2の対象物を提供する工程
    と、 空隙を画成するために、上記第1の対象物の上記相補型
    表面のごく近傍に、前記熱交換表面を位置させる工程
    と、 前記空隙内で前記熱交換表面と前記相補型表面との間に
    ガスを閉じ込める工程と、 前もって選択された温度に上記第1の対象物を維持する
    ために、前記空隙に亘る熱の伝導を増加させたり減少さ
    せたりして、前記空隙内で前記ガスの圧力を増加させた
    り減少させたりする工程とを備えた熱を伝達するための
    方法。
  16. 【請求項16】 制御信号に応じて、前記空隙内で前記
    ガスの上記圧力を変化させる工程と、 前記温度の関数として変化する前記制御信号を提供する
    ために上記第1の対象物の上記温度を感知する工程とを
    更に含む請求項15の方法。
  17. 【請求項17】 ガスが閉じ込められる他の空隙を形成
    するために、上記第1の対象物の上記相補型表面のごく
    近傍に熱交換表面を有する第3の対象物を提供する工程
    を更に含む請求項15の方法。
  18. 【請求項18】 前記第3の対象物が冷却され、前記第
    2の対象物が加熱され、 前記第2の対象物の温度と前記第3の対象物の温度との
    間の温度に上記第1の対象物の温度を急速に制御するこ
    とによって、前記空隙内の上記ガス圧力をそれぞれ増加
    させたり減少させたりする請求項17の方法。
  19. 【請求項19】 上記第1の対象物に比較した際の温度
    差とほぼ同等に、前記第2の対象物を維持するため、前
    記第2の対象物と前記熱源/熱シンクとの間で熱を伝え
    る熱源/熱シンクを提供する工程をを更に含む請求項1
    5の方法。
JP26572294A 1993-10-29 1994-10-28 準無限な熱源/熱シンク Pending JPH07176601A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/145,459 US5676205A (en) 1993-10-29 1993-10-29 Quasi-infinite heat source/sink
US08/145459 1993-10-29

Publications (1)

Publication Number Publication Date
JPH07176601A true JPH07176601A (ja) 1995-07-14

Family

ID=22513227

Family Applications (1)

Application Number Title Priority Date Filing Date
JP26572294A Pending JPH07176601A (ja) 1993-10-29 1994-10-28 準無限な熱源/熱シンク

Country Status (6)

Country Link
US (1) US5676205A (ja)
EP (1) EP0651424B1 (ja)
JP (1) JPH07176601A (ja)
KR (1) KR950012674A (ja)
AT (1) ATE169772T1 (ja)
DE (1) DE69412420T2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002252271A (ja) * 2001-02-26 2002-09-06 Anelva Corp 基板処理装置の基板保持装置
US6895179B2 (en) 2002-03-05 2005-05-17 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus
JP2010219354A (ja) * 2009-03-17 2010-09-30 Tokyo Electron Ltd 載置台構造及びプラズマ成膜装置
JP4620879B2 (ja) * 2001-01-23 2011-01-26 キヤノンアネルバ株式会社 基板温度制御機構及び真空処理装置
US7913752B2 (en) 2003-02-17 2011-03-29 Ishikawajima-Harima Heavy Industries Co., Ltd. Cooling device for vacuum treatment device
JP2014508417A (ja) * 2011-03-01 2014-04-03 アプライド マテリアルズ インコーポレイテッド 基板搬送及びラジカル閉じ込めのための方法及び装置

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5663488A (en) * 1995-05-31 1997-09-02 Hewlett-Packard Co. Thermal isolation system in an analytical instrument
US6140612A (en) * 1995-06-07 2000-10-31 Lam Research Corporation Controlling the temperature of a wafer by varying the pressure of gas between the underside of the wafer and the chuck
US6002109A (en) 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
US5625152A (en) * 1996-01-16 1997-04-29 Mks Instruments, Inc. Heated pressure transducer assembly
JP3554219B2 (ja) * 1998-03-31 2004-08-18 キヤノン株式会社 排気装置と排気方法、および堆積膜形成装置と堆積膜形成方法
US6169271B1 (en) 1998-07-13 2001-01-02 Mattson Technology, Inc. Model based method for wafer temperature control in a thermal processing system for semiconductor manufacturing
US6166898A (en) * 1998-10-30 2000-12-26 Promos Technologies, Inc. Plasma chamber wafer clamping ring with erosion resistive tips
US6635580B1 (en) * 1999-04-01 2003-10-21 Taiwan Semiconductor Manufacturing Co. Ltd. Apparatus and method for controlling wafer temperature in a plasma etcher
EP1297560A2 (en) * 2000-07-06 2003-04-02 Applied Materials, Inc. Thermally processing a substrate
JP2002050809A (ja) * 2000-08-01 2002-02-15 Anelva Corp 基板処理装置及び方法
US6564811B2 (en) * 2001-03-26 2003-05-20 Intel Corporation Method of reducing residue deposition onto ash chamber base surfaces
US6959554B1 (en) * 2001-07-10 2005-11-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Passive gas-gap heat switch for adiabatic demagnetization refrigerator
JP4493251B2 (ja) * 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
KR100452318B1 (ko) * 2002-01-17 2004-10-12 삼성전자주식회사 압력조절시스템 및 이를 이용하는 압력조절방법
US7582186B2 (en) * 2002-12-20 2009-09-01 Tokyo Electron Limited Method and apparatus for an improved focus ring in a plasma processing system
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US6976782B1 (en) * 2003-11-24 2005-12-20 Lam Research Corporation Methods and apparatus for in situ substrate temperature monitoring
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20060025049A1 (en) * 2004-07-30 2006-02-02 Applied Materials, Inc. Spray slurry delivery system for polish performance improvement and cost reduction
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
JP5148955B2 (ja) * 2007-09-11 2013-02-20 東京エレクトロン株式会社 基板載置機構及び基板処理装置
JP2009084686A (ja) 2007-09-11 2009-04-23 Tokyo Electron Ltd 基板載置機構、基板処理装置、基板載置機構上への膜堆積抑制方法及び記憶媒体
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US8333842B2 (en) * 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
US20110068084A1 (en) * 2008-07-10 2011-03-24 Canon Anelva Corporation Substrate holder and substrate temperature control method
JP7224139B2 (ja) * 2018-10-25 2023-02-17 東京エレクトロン株式会社 ステージ装置および処理装置
US10866036B1 (en) 2020-05-18 2020-12-15 Envertic Thermal Systems, Llc Thermal switch

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3225820A (en) * 1962-11-01 1965-12-28 Gen Precision Inc Device for controlling temperature by heat conduction
US3270802A (en) * 1963-01-10 1966-09-06 Jay G Lindberg Method and apparatus for varying thermal conductivity
US3450196A (en) * 1967-08-30 1969-06-17 Trw Inc Gas pressure control for varying thermal conductivity
US3602004A (en) * 1969-04-02 1971-08-31 American Air Filter Co Heat exchange device
AU497893B2 (en) * 1975-07-29 1979-01-18 Buckley, Bruce Shawn Controllable heat transmission apparatus
DE3118433A1 (de) * 1981-05-09 1982-11-25 Dornier System Gmbh, 7990 Friedrichshafen "verfahren und vorrichtung zum thermisch gesteuerten und ueberhitzungssicheren schalten und regeln"
US4689970A (en) * 1985-06-29 1987-09-01 Kabushiki Kaisha Toshiba Cryogenic apparatus
US4771823A (en) * 1987-08-20 1988-09-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Self-actuating heat switches for redundant refrigeration systems
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
DE3819114A1 (de) * 1988-06-04 1989-12-14 Wilhelm Chr Dr Buck Betaetigungsvorrichtung fuer eine verstellbare ventilspindel
US5096536A (en) * 1990-06-12 1992-03-17 Micron Technology, Inc. Method and apparatus useful in the plasma etching of semiconductor materials
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US5186238A (en) * 1991-04-25 1993-02-16 International Business Machines Corporation Liquid film interface cooling chuck for semiconductor wafer processing
JP2778284B2 (ja) * 1991-05-29 1998-07-23 三菱電機株式会社 加熱装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4620879B2 (ja) * 2001-01-23 2011-01-26 キヤノンアネルバ株式会社 基板温度制御機構及び真空処理装置
JP2002252271A (ja) * 2001-02-26 2002-09-06 Anelva Corp 基板処理装置の基板保持装置
US6895179B2 (en) 2002-03-05 2005-05-17 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus
US7138606B2 (en) 2002-03-05 2006-11-21 Hitachi High-Technologies Corporation Wafer processing method
US7913752B2 (en) 2003-02-17 2011-03-29 Ishikawajima-Harima Heavy Industries Co., Ltd. Cooling device for vacuum treatment device
JP2010219354A (ja) * 2009-03-17 2010-09-30 Tokyo Electron Ltd 載置台構造及びプラズマ成膜装置
JP2014508417A (ja) * 2011-03-01 2014-04-03 アプライド マテリアルズ インコーポレイテッド 基板搬送及びラジカル閉じ込めのための方法及び装置

Also Published As

Publication number Publication date
EP0651424A3 (en) 1995-09-20
KR950012674A (ko) 1995-05-16
DE69412420D1 (de) 1998-09-17
US5676205A (en) 1997-10-14
EP0651424A2 (en) 1995-05-03
EP0651424B1 (en) 1998-08-12
DE69412420T2 (de) 1999-04-29
ATE169772T1 (de) 1998-08-15

Similar Documents

Publication Publication Date Title
JPH07176601A (ja) 準無限な熱源/熱シンク
JP5347214B2 (ja) 載置台構造及び熱処理装置
US9681497B2 (en) Multi zone heating and cooling ESC for plasma process chamber
JP5194125B2 (ja) シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US6949722B2 (en) Method and apparatus for active temperature control of susceptors
US8216486B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP4745961B2 (ja) 温度制御された基板支持体表面を有する基板支持体及びその制御方法並びに半導体処理装置及びその方法
US20190326138A1 (en) Ceramic wafer heater with integrated pressurized helium cooling
US9679791B2 (en) Heater elements with enhanced cooling
CN101001975A (zh) 等离子体处理系统中最佳温度控制的方法和设备
US6303906B1 (en) Resistively heated single wafer furnace
US7358200B2 (en) Gas-assisted rapid thermal processing
US20040123805A1 (en) Vacuum treatment method and vacuum treatment device
JP2003532842A (ja) 小型ゲートバルブ
US20190326139A1 (en) Ceramic wafer heater having cooling channels with minimum fluid drag
KR20010020156A (ko) 급속 열처리(rtp) 시스템용 팽창성 엘라스토머 요소
JP2008262968A (ja) プラズマ処理装置およびプラズマ処理方法
US20130059260A1 (en) Wafer Heating and Temperature Control by Backside Fluid Injection
US6198075B1 (en) Rapid heating and cooling vacuum oven
JP3924867B2 (ja) 高真空バルブのボディーの均一加熱装置
KR20220007518A (ko) 탑재대, 기판을 처리하는 장치, 및 기판을 온도 조절하는 방법
US6270583B1 (en) Closed type semiconductor wet thermal oxidation apparatus
TW202328472A (zh) 化學氣相沉積裝置及其方法
JP2008251602A (ja) プラズマ処理装置およびプラズマ処理方法
JP2002373838A (ja) 温度調整装置における載置プレートの支持構造

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040907

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050221