JPH0575846A - 画像処理装置 - Google Patents

画像処理装置

Info

Publication number
JPH0575846A
JPH0575846A JP3230649A JP23064991A JPH0575846A JP H0575846 A JPH0575846 A JP H0575846A JP 3230649 A JP3230649 A JP 3230649A JP 23064991 A JP23064991 A JP 23064991A JP H0575846 A JPH0575846 A JP H0575846A
Authority
JP
Japan
Prior art keywords
signal
circuit
output
image
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3230649A
Other languages
English (en)
Inventor
Keitoku Ito
敬徳 伊東
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ricoh Co Ltd
Original Assignee
Ricoh Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ricoh Co Ltd filed Critical Ricoh Co Ltd
Priority to JP3230649A priority Critical patent/JPH0575846A/ja
Publication of JPH0575846A publication Critical patent/JPH0575846A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Color Electrophotography (AREA)
  • Image Processing (AREA)
  • Facsimile Image Signal Circuits (AREA)
  • Color Image Communication Systems (AREA)

Abstract

(57)【要約】 【目的】 画像信号の階調性を変換する際の高濃度領域
での信号の飛びを小さくし、かつ、積和演算の係数を大
きくせず係数保持のためのビット数を多くする必要性を
なくす。 【構成】 画像信号を階調性変換手段76においてほぼ
反射率のn乗根に比例した階調性を有する信号に変換す
ることで、高濃度領域であっても画像信号の飛びを小さ
くし、疑似輪郭や画像のザラツキ感の発生を防止し得る
ようにし、また、色補正処理手段77においては、入力
される画像信号がほぼ反射率のn乗根に比例した階調性
を有するものであり、このような画像信号に1次の積和
演算処理を施して色補正を行なわせることで、色再現性
を考慮して決定される積和演算の係数が大きくならずに
済み、係数保持のためのレジスタや乗算器のビット数を
多くする必要のないものとした。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、デジタルカラー複写機
等における画像処理装置に関する。
【0002】
【従来の技術】一般に、原稿読取り装置で使用されるC
CD等の光電変換素子は、入射光量(=原稿の反射率)
に比例した信号を出力しており、通常は、この信号を線
形増幅した後、A/D変換して色分解信号として出力す
るようにしている。即ち、原稿読取り装置から出力され
る画像信号は、一般に、反射率にほぼ比例した階調性を
有するものとなる。
【0003】このような画像信号を濃度に比例した画像
信号に変換すると、高濃度領域で信号レベルの飛びが発
生し、疑似輪郭や画像のザラツキ感として記録画像に現
れてしまう。
【0004】このようなことから、特開平1−2282
68号公報等によれば、反射率に比例した画像信号を濃
度に比例した信号に変換した後、1次の積和演算により
色補正処理を行なう周知の方法をベースとして、濃度変
換に際して出力画像信号のビット数を低減させるととも
に色分解濃度で形成される色空間を複数の領域に分割
し、分割された領域毎に積和演算の係数を切換えるよう
にしたものが示されている。即ち、多めのビット数の画
像信号を出力させ、濃度変換を行なうと同時にビット数
を低減させるようにしたものである。
【0005】また、特開昭59−163972号公報等
に示されるように反射率に比例した画像信号に直接的に
1次の積和演算を施し、色補正するようにしたものもあ
る。
【0006】
【発明が解決しようとする課題】ところが、前者の方式
によると、ビット数を増やす分だけ、画像読取り装置等
を高精度にする必要がある。
【0007】また、後者の方式によると、色再現性が最
適となるように求めるための積和演算の係数が大きくな
ってしまう。即ち、積和演算における演算精度を保つた
めには、係数保持のためのレジスタや乗算器のビット数
を大きくしておく必要があるという欠点持つ。
【0008】
【課題を解決するための手段】請求項1記載の発明で
は、画像ハイライト部の基準信号値をXHi、画像シャド
ウ部の基準信号値をXSi(ただし、i=1,2,3)と
したとき、ほぼ反射率に比例した階調性を有するR,
G,B信号等のデジタル色分解信号Xi を、
【0009】
【数2】
【0010】式に従いほぼ反射率のn乗根(ただし、n
=1.5〜5)に比例した階調性を有するデジタル画像
信号Yi に変換して出力する階調性変換手段と、この階
調性変換手段より出力されるデジタル画像信号Yi に、 Z=aY1+bY2+cY3+d (ただし、係数a,b,c,dは定数)式で示す1次の
積和演算処理を施して色分解版を記録するためのデジタ
ル記録信号Zを生成する色補正処理手段とを設けた。
【0011】請求項2記載の発明では、色補正処理手段
が、積和演算処理の係数a,b,cを切換える係数切換
え手段と、 eY1+fY2−(e+f)Y3 (ただし、係数e,fは同時に0とはならない整数)式
による複数の画像信号Yi の計算結果の正負に応じて前
記係数切換え手段を制御する制御手段とを有するものと
した。
【0012】
【作用】請求項1記載の発明によれば、画像信号を階調
性変換手段においてほぼ反射率のn乗根に比例した階調
性を有する信号に変換するので、高濃度領域であっても
画像信号の飛びを小さくし、疑似輪郭や画像のザラツキ
感の発生を防止し得る。この際、色補正処理手段におい
ては、入力される画像信号がほぼ反射率のn乗根に比例
した階調性を有するものであり、このような画像信号に
1次の積和演算処理を施して色補正を行なうので、色再
現性を考慮して決定される積和演算の係数が大きくなら
ずに済み、係数保持のためのレジスタや乗算器のビット
数を多くする必要のないものとなる。
【0013】特に、請求項2記載の発明によれば、デジ
タル画像信号Yi によって形成される色空間を複数の領
域に分割し、各領域毎に積和演算の係数を切換えること
ができるため、各領域毎に係数を最適化でき、色補正処
理手段における処理精度が向上するものとなる。
【0014】
【実施例】本発明の一実施例を図面に基づいて説明す
る。まず、図2に本発明が適用される一例としてのデジ
タルカラー複写機の概要を示し、図3にその電装部の概
要を示す。本実施例のデジタルカラー複写機は、図2に
示すように、原稿を読取るスキャナユニット1と、記録
紙に画像を記録するプリンタユニット2とに大別され
る。スキャナユニット1をみると、プラテン(コンタク
トガラス)3上に載置された原稿4は蛍光灯5により露
光照明される。原稿4からの反射光はレンズアレイ6を
経てカラーイメージセンサなるCCD7に入射し、光電
変換により画像信号(R,G,Bに色分解)に変換され
る。ここに、蛍光灯5、レンズアレイ6及びCCD7等
はキャリッジ8に搭載されており、原稿読取り時はキャ
リッジ駆動モータ9によりキャリッジ8が右から左へ移
動し、プラテン3上に載置された原稿4の全面が走査さ
れる。CCD7から出力される画像信号は、画像処理部
10等で各種画像処理が施された後、プリンタユニット
2のレーザダイオード(図示せず)に入力される。
【0015】プリンタユニット2では、画像信号によっ
て付勢されたレーザダイオードから出射されたY,M,
C,BK用のレーザ光が、各々ポリゴンミラー11等で
反射され、fθレンズ12、ミラー13等を経て、ドラ
ム状の各感光体14上に結像照射される。各々のポリゴ
ンミラー11は同一のポリゴンモータ15の回転軸に固
着されており、ポリゴンモータ15は一定速度で回転し
てポリゴンミラー11を回転駆動する。このポリゴンミ
ラー11の回転により、レーザ光は感光体14の回転方
向(時計方向)と垂直な方向、即ち、ドラム軸に沿う方
向に主走査される。ここに、感光体14表面は、負電圧
の高圧発生装置に接続された帯電チャージャ16により
一様帯電済みとされている。よって、レーザ光が照射さ
れると、光導電現象により感光体表面の電荷がドラム本
体の機器アースに流れて消滅する。ここで、原稿濃度の
濃い部分はレーザダイオードを点灯させず、原稿濃度の
薄い部分はレーザダイオードを点灯させる。これによ
り、感光体14の表面には原稿濃淡に対応した静電潜像
が形成される。この潜像を現像ユニット17により現像
すると、画像濃度に応じたトナー像が感光体14上に形
成される。
【0016】一方、給紙カセット18に収納された記録
紙19は給紙コロ20等の給紙動作により繰出され、レ
ジストローラ21により所定タイミングで転写ベルト2
2上に送られる。転写ベルト22上を搬送される記録紙
19が感光体14の下部を通過する間、転写チャージャ
23の作用により感光体14上のトナー像が記録紙19
に転写される。転写後、記録紙19は分離チャージャ2
4の作用により剥離されて定着ユニット26に送られ、
定着を受け、排紙ローラ27により排紙トレイ28上に
排出される。
【0017】なお、転写後、感光体14上に残留したト
ナーはクリーニングユニット29で除去され、転写ベル
ト22表面に付着したトナーはクリーニングユニット3
0で除去され、各々廃ボトル31に排出される。また、
感光体14表面の残留電荷は除電ランプ32により除電
消去される。
【0018】また、電装部をみると、図3に示すよう
に、前述したスキャナユニット1、プリンタユニット
2、画像処理部10とともに、各種処理モードの入力及
び表示等を行うための操作表示ユニット33、これらの
各ユニット1,2,33中の制御部と通信を行い、複写
機全体を制御したり画像処理部10の設定等を行うシス
テム制御ユニット34等により構成されている。このよ
うな電装部を有する複写機本体35に対して、外部機器
36が接続可能とされており、複写機本体35と外部機
器36との間の通信により画像信号を授受し得るように
構成されている。
【0019】なお、本実施例のデジタルカラー複写機
は、A3サイズの原稿画像の読取り及び書込みが可能で
あり、その画素密度は16画素/mmであるとする。
【0020】以下、各部の構成及び動作を個別に順に説
明する。まず、スキャナユニット1を図4及び図5を参
照して説明する。図4はスキャナユニット1の電装部構
成を示すもので、原稿の反射光が入射されるCCD7は
基板上に千鳥状に配置された5つのCCDカラーセンサ
チップ7a〜7eにより構成されており、クロックドラ
イバ51から出力される動作制御用のクロックによって
駆動される。ここに、CCDカラーセンサチップ7aの
出力信号は、プリアンプ回路52で増幅された後、シェ
ーディング補正回路53に入力される。このシェーディ
ング補正回路53は蛍光灯5の照明むら、CCD内部の
受光素子の感度むら、暗電流に対する補正等を施す回路
であり、その出力はA/D変換器54によって8ビット
のデジタル信号に変換される。白レベルメモリ55及び
暗電流メモリ56は、各々原稿部分に先立って走査され
る白色基準板と黒色基準板の読取り結果を記憶する回路
で、シェーディング補正回路53ではこれらのメモリ5
5,56の出力に応じて出力する画像信号のレベルを調
整し、上述した補正を実現している。このような出力信
号処理回路57aは、他のCCDカラーセンサチップ7
b〜7eについても、同様に出力信号処理回路57b〜
57eとして設けられている。
【0021】また、出力信号処理回路57b,57dの
出力に対してはディレイ回路58b,58dが接続され
ている。これらのディレイ回路58b,58dは入力さ
れた画像信号を遅延する回路であり、これによって、千
鳥状配置のCCDカラーセンサチップ7a〜7e間の副
走査方向のずれdCCD が補正され、原稿面での同一線上
の画像信号となってRGB分離回路59に入力される。
【0022】なお、本実施例のスキャナユニット1では
副走査方向の変倍をキャリッジ8の移動速度を変更する
ことで実現しているので、必要となるディレイ量は変倍
率によって変化する。即ち、dCCD ×変倍率/100/
16ラインとなる。これに対し、本実施例のディレイ回
路58b,58dは変倍に相当するライン数のディレイ
用メモリを有しており、縮小及び等倍ではメモリによっ
て遅らせるライン数を制御することにより、また、拡大
ではライン単位でメモリへの書込みを禁止させるととも
にその読出しを重複させることによって、必要とするデ
ィレイ量を実現している。このため、拡大を行う場合
も、必要とするディレイ用メモリの容量は等倍と同じと
なる。
【0023】CCDカラーセンサチップ7a〜7eは図
5に示すようにR,G,Bフィルタ60が順番に並んだ
構造となっているため、出力される画像信号はRGBの
各信号が混在した状態となっている。そこで、前記RG
B分離回路59により、並列して送られてくるこれらの
5つのCCDカラーセンサチップ7a〜7eからの画像
信号を1本に統合するとともに、これらの信号を各色に
分離される。このように統合・分離された画像信号R
s,Gs,Bsは画像処理部10に出力される。
【0024】また、このスキャナユニット1にはユニッ
ト全体を制御するスキャナ制御回路61が設けられてい
る。このスキャナ制御回路61は、CPU62、ROM
63、RAM64を始めとし、前記システム制御ユニッ
ト34と通信を行うためのシリアルI/O回路65やパ
ラレルI/O回路66等から構成されるマイクロコンピ
ュータシステムである。また、前記パラレルI/O回路
66はホームポジション(HP)センサ67等の各種セ
ンサ信号の入力、前記ディレイ回路58b,58dに対
するディレイ量の設定等を行うための信号の出力、キャ
リッジ駆動モータ9や蛍光灯5等の各種負荷を駆動する
駆動回路68を制御する信号の出力等を行うためのもの
である。即ち、スキャナ制御回路61はROM63に記
憶されたプログラムによって動作し、システム制御ユニ
ット34からの指令や各種センサ信号に応じて各回路の
設定や各種負荷の駆動制御を行う。
【0025】なお、69は基準クロック発生回路であ
り、前記画像処理部10から出力されたライン同期信号
SYNCsに基づいて各種同期信号を出力している。ま
た、上述した各回路は、この信号に同期して動作する。
さらに、この基準クロック発生回路69はスキャナユニ
ット1内のライン同期信号及び画素同期信号CKsを、
画像処理部10に出力している。
【0026】つぎに、画像処理部10を図1を参照して
説明する。図1は画像処理部10全体の構成を示すもの
で、概略的には、同期信号発生回路71により発生され
る画像同期信号S10に応じて制御される主走査変倍回路
72、加工処理回路73、第1フィルタ処理回路74、
外部I/F回路75、第1γ変換処理回路76、色補正
回路77、UCR処理回路78、第2γ変換処理回路7
9、原稿サイズ検出回路80、第2フィルタ処理回路8
1、多値ディザ処理回路82及び遅延処理回路83を、
RGB分離回路59の出力側に順に接続してなる。ま
た、領域制御回路84も設けられている。これらの構成
要素を順に説明する。
【0027】まず、タイミング発生部について図6ない
し図8を参照して説明する。同期信号発生回路71は、
プリンタユニット2から出力されるライン同期信号SY
NCp及びシステム制御ユニット34から出力される制
御信号BUSoの設定に基づいて、画像処理部10にお
ける画像同期信号S10を発生する回路であり、例えば図
6に示すように構成される。
【0028】同期信号発生回路71における基準クロッ
ク信号S11を発生するクロックジェネレータ101が設
けられ、その出力側には基準クロック信号S11を2分周
したクロック信号S12を生成するフリップフロップ10
2が接続されている。一方、プリンタユニット2から出
力されたライン同期信号SYNCpを図7中に示すよう
に前記クロック信号S12に同期したライン同期信号SY
NCsに変換する波形整形回路103が設けられてい
る。また、2倍周期のライン同期信号S13を生成するフ
リップフロップ104及びORゲート105も接続され
ている。これらの信号S11,S12、SYNCs,S13
画像信号の受信速度の切換え手段を構成するセレクタ1
06に入力され、何れかが画像処理部10における画素
同期信号S101、ライン同期信号S102として選択出力さ
れる。このため、セレクタ106には制御信号BUSo
によって同期信号レジスタ107に設定された信号S14
に応じて選択動作をする。なお、本実施例では画素同期
信号及びライン同期信号の切換え等によって、高速モー
ドと低速モードとの2つのモードで動作可能とされてお
り、特に後者の低速モードはOHPシートや厚紙等の定
着性を要求される記録紙を用いた場合に選択される。
【0029】また、ライン同期信号S102 でクリアされ
画素同期信号S101 をカウントするカウンタ108が設
けられ、このカウンタ108出力は各々コンパレータ1
09,110に入力されている。これらのコンパレータ
109,110には同期信号レジスタ107に設定され
ている主走査方向の有効画像領域の開始点を表す信号と
終了点を表す信号も入力されており、これによってNA
NDゲート111の出力は、主走査方向の非有効画像範
囲においてHレベルとなる。また、NANDゲート11
1の出力信号は主走査方向イレース信号S103 として多
値ディザ処理回路82に出力される。
【0030】また、制御信号BUSoによって設定され
る信号S15,S16はライン同期信号S102 に同期してフ
リップフロップ112で保持され、各々フレーム同期信
号S104、副走査方向イレース信号S105として出力され
る。
【0031】図8はこのような各信号生成を示すタイミ
ングチャートである。
【0032】図1に戻ると、同期信号発生回路71から
出力された画像同期信号S10は画像処理部10の各回
路、スキャナユニット1、システム制御ユニット34等
に入力される。また、スキャナユニット1から出力され
た画像信号Rs,Gs,Bs及び同期信号CLKsは主
走査方向変倍回路72に入力される。
【0033】この主走査変倍について図9ないし図12
を参照して説明する。主走査変倍回路72は画像信号R
s,Gs,Bsに主走査方向の変倍処理等を施して、処
理済みの画像信号S20R,S20G,S20Bを出力するもの
であり、この主走査変倍回路72は各々の色画像信号毎
に独立した処理回路72R,72G,72B により構成さ
れているが、構成自体は同じであり、例えば図9に示す
ように構成されている。ここでは、画像信号Rsに対す
る回路例とする。
【0034】まず、入力された画像信号Rs等は同期信
号CLKsに従って画像記憶手段となるFIFO(ファ
ースト・イン・ファースト・アウト)メモリ121,1
22に書込まれる。ここで、同期信号CLKsは図10
に示すような画像信号Rs等の画素同期信号S21とライ
ン同期信号S22よりなる。また、書込みゲート回路12
3は制御信号BUSoにより設定されたライン先端の書
込み開始位置を示す信号を出力する回路で、この信号に
より前記FIFOメモリ121,122への画像信号の
書込みが規制される。なお、FIFOメモリ121,1
22は例えば日本電気株式会社製のμPD42505C
等の1ライン分余りの画像信号を記憶し得る容量のメモ
リと、独立制御が可能な書込み用/読出し用のアドレス
カウンタ内蔵の素子である。これらのFIFOメモリ1
21,122に書込まれた画像信号は、前記同期信号発
生回路71が出力するライン同期信号S102 と変倍処理
回路124が出力する読出しクロックS231 によって読
出され、シフトレジスタ125に書込まれる。
【0035】なお、フリップフロップ126はライン同
期信号S102 が入力される度に出力を反転し、FIFO
メモリ121,122の書込み/読出しをトグル制御す
る。
【0036】前記シフトレジスタ125からは連続した
4画素の画素信号S241〜S244が出力され、各々乗算回
路127の各部に入力される。乗算回路127の各部は
前記変倍処理回路124から出力される係数切換え信号
233 によって表1のように各部毎に決まる係数によ
り、入力された画像信号を乗算処理し、その結果を出力
する。乗算回路127の各部の乗算結果は加算整形回路
128に入力されて合計され、さらに、オーバフロー及
び負値の処理が行われ、FIFOメモリ129に出力さ
れる。
【0037】
【表1】
【0038】なお、シフトレジスタ125、乗算回路1
27及び加算整形回路128は、図11に示すようにC
CDによりサンプリングされた画像信号D1〜D4から変
倍後の仮想サンプリング点の画像信号値を補間演算する
ために設けられている。また、表1に示した係数は標本
化関数に基づいて決められており、係数の選択は仮想サ
ンプリング点とCCD画像信号D2 との距離δにより決
定される。
【0039】FIFOメモリ129への書込みは、変倍
処理回路124が出力する書込みクロックS232 とライ
ン同期信号S102 によって制御され、その読出しは同期
信号発生回路71が出力する画素同期信号S101 とライ
ン同期信号S102 によって行われる。また、その出力は
マスク処理回路130に入力される。マスク処理回路1
30では変倍処理回路124から出力されるマスク信号
234に応じて画像信号を白色化する回路であり、処理
を施した画像信号S20R等(S20G,S20B )を出力す
る。
【0040】変倍処理回路124は上述した仮想サンプ
リング点の位置を計算し、読出し及び書込みクロック信
号S231,S232、係数切換え信号S233及びマスク信号
234を出力する回路で、例えば図12に示すように構
成されている。図中、131は倍率の逆数を出力する回
路であり、この倍率の逆数は倍率レジスタ132の出力
30、副走査方向の累積変化量S31、及び主走査方向の
累積変化量S32の総和として加算回路133から出力さ
れる。ここで、倍率レジスタ132の出力S30は制御信
号BUSoによって設定された値を、領域制御回路84
から出力された領域信号S331 によって選択することで
決定される。また、副走査方向の累積変化量S31は制御
信号BUSoによって副走査方向増減レジスタ134に
設定された増減値を領域信号S332 により選択し、それ
を加算器135及びフリップフロップ136により、ラ
イン同期信号S102 が入力される毎に累積加算していく
ことで決められる。この累積変化量はフレーム同期信号
104 によってクリアされる。主走査方向の累積変化量
32は制御信号BUSoによって主走査方向増減レジス
タ137に設定された増減値を領域信号S333 により選
択し、それを加算器138及びフリップフロップ139
により、ORゲート140の出力するクロックが入力さ
れる毎に累積加算していくことで決められる。この累積
変化量はライン同期信号S102 によってクリアされる。
このような倍率逆数出力回路131から出力される倍率
の逆数を示す信号は、整数部信号S341 と小数部信号S
342 とに分けられて処理される。
【0041】整数部信号S341 はコンパレータ141に
入力され、拡大か否か(即ち、整数部が0か否か)の判
定が行われる。即ち、拡大であれば信号S351 はHレベ
ル、信号S352 はLレベルとなり、縮小(等倍を含む)
の時はこの逆となる。
【0042】ここに、拡大の場合の動作を説明する。こ
の場合、NORゲート142の出力は常にLレベルとな
るので、ORゲート143から出力される書込みクロッ
ク信号S232 とORゲート140から出力されるクロッ
ク信号は、画素同期信号S10と等しくなる。このORゲ
ート140の出力はフリップフロップ144を制御して
いるので、加算器145、セレクタ146とこのフリッ
プフロップ144は画素同期信号が入力される度に小数
部信号S342 の累積加算を行う。フリップフロップ14
4から出力される累積結果の上位ビット、例えば表1の
場合は3ビットは係数切換え信号S233 として出力され
る。なお、セレクタ146はライン同期信号S102 が入
力されている間(Lレベル)は制御信号BUSoによっ
てオフセットレジスタ145に設定されたオフセット信
号を出力するので、ライン同期信号解除後のフリップフ
ロップ144の出力はオフセット信号と等しくなる。こ
のオフセット信号は、図5に示したカラーイメージセン
サ構造によって生ずるRGB間の中心位置の差を補正演
算するのに用いられる。即ち、オフセットレジスタ14
5に設定されるオフセット信号は主走査変倍回路7
R,72G,72B 毎に異なっている。
【0043】一方、加算器145のキャリー出力信号は
加算器146に入力され、さらにその出力はセレクタ1
47を介して(NORゲート142の出力は常にLレベ
ル)、コンパレータ148に入力されている。なお、拡
大の場合、整数部信号S341は0であるのでコンパレー
タ148の入力は加算器145にキャリー信号が生じた
場合だけ1となり、その他の場合は0となる。コンパレ
ータ148は入力が1の場合にHレベルの信号を出力す
るもので、この場合はNORゲート149の出力はLレ
ベルとなり、ORゲート150からは読出しクロック信
号S231 が出力される。なお、拡大の場合、信号S352
は常にLレベルであるので、読出しクロックが発生する
のは加算器145にキャリー信号が生じた場合だけであ
る。
【0044】次に、縮小の場合の動作を説明する。この
場合、NORゲート149の出力は常にHレベルとなる
ので、ORゲート150から出力される読出しクロック
信号S231 は画素同期信号S10と等しくなる。また、ラ
イン同期信号S102 によってフリップフロップ151が
クリアされると、コンパレータ152は入力が1又は0
となるので、Hレベルを出力し、その結果、NORゲー
ト142の出力はLレベルとなる。これにより、ライン
同期信号がHレベルに変化した直後に整数部信号S341
がフリップフロップ151に記憶される。一方、フリッ
プフロップ151の出力はディクリメント回路153、
セレクタ147を介して再びフリップフロップ151に
入力されており、これはフリップフロップ151の値が
ディクリメントされて1になりNORゲート142の出
力がLレベルになるまで繰返される。
【0045】一方、NORゲート142の出力がLレベ
ルになるとORゲート140はクロックを発生し、フリ
ップフロップ144に記憶されていた小数部の累積値と
倍率の逆数S341,S242の和がフリップフロップ14
4,151に記憶される。また、その次の画素同期信号
10のサイクルでは、フリップフロップ153の作用に
よって書込みクロックS232 がORゲート143から出
力される。
【0046】ついで、ORゲート150にはカウンタ1
54が接続されている。このカウンタ154はライン同
期信号S102 によりクリアされ、読出しクロック信号S
101をカウントし、その出力はコンパレータ155に入
力される。また、有効画像幅レジスタ156は制御信号
BUSoによって設定され、前記書込みゲート回路12
3に設定するライン先端の書込み開始位置と原稿の主走
査方向の有効範囲によって決まる有効画像信号数(図1
0参照)を示す信号を出力する回路で、この出力もコン
パレータ155に入力される。従って、コンパレータ1
55はカウンタ154の出力が有効画像信号数に達する
と、Hレベルを出力し、カウンタ157のカウント動作
を禁止する。このカウンタ157はライン同期信号S
102 でクリアされ、ORゲート158を介して入力され
る書込みクロック信号S101 をカウントしており、その
出力はライン同期信号S102 でカウンタ157がクリア
される前にフリップフロップ159に保持される。カウ
ンタ160はライン同期信号S102 でクリアされ、画素
同期信号S10をカウントしており、その出力はコンパレ
ータ161に入力される。コンパレータ161にはフリ
ップフロップ159の出力信号も入力されており、カウ
ンタ160の出力がフリップフロップ159の出力値に
達するまで白色化を禁止するマスク信号S234 を出力す
る。
【0047】このように本実施例の主走査変倍回路72
によれば、倍率の不連続的な切換えと、主走査及び副走
査両方向の連続的な倍率変更が、制御信号BUSoによ
る倍率逆数出力回路131への設定と領域信号S33によ
る制御により実現できる。また、倍率の不連続な切換え
や副走査方向の連続的な倍率変更に伴って、変倍処理後
の主走査方向の有効画像範囲はライン毎に変化するが、
本実施例ではFIFOメモリ121,122からの読出
しが有効画像範囲内の時にFIFOメモリ129に書込
んだ画素数をカウントし、このFIFOメモリ129か
ら画像信号を読出す時にその画素数を越えた場合は画像
信号を白色化するので主走査方向のイレース制御が容易
となる。
【0048】再度、図1に戻ると、このような主走査変
倍回路72から出力される画像信号S20R,S20G,S
20B は加工処理回路73に入力される。
【0049】この加工処理部について図13ないし図1
5を参照して説明する。加工処理回路73は、画像信号
20R,S20G,S20B に主走査方向のシフト処理等を施
し、処理済みの画像信号S35R,S35G,S35B を出力す
る回路であり、図13に示すように構成される。
【0050】まず、画像信号S20R,S20G,S20Bは各
々ラインバッファ回路171R,171G,171B に入
力される。なお、これらのラインバッファ回路17
R,171G,171B は同様な構成であり、ここでは
ラインバッファ回路171Rのみを詳細に示す。ライン
バッファ回路171R に注目すると、画像信号S35R
バッファ172に入力されており、メモリ制御回路17
3から出力され信号レベルが相異なる制御信号S361
362によってラインメモリ174,175に選択的に
出力される。例えば、制御信号S361 がHレベルで画像
信号がラインメモリ174に出力される場合、ラインメ
モリ174のI/O端子は制御信号S361 によりハイイ
ンピーダンス状態になり、メモリ制御回路173から出
力されるアドレス信号S371 及びライトイネーブル信号
381 によってラインメモリ174に画像信号S20R
書込まれる。この時、制御信号S362 はLレベルになっ
ており、ラインメモリ175からはアドレス信号S372
に応じた画像信号が読出される。また、セレクタ176
はこのラインメモリ175から出力された画像信号の選
択状態にある。一方、制御信号S361 がLレベルの場合
は、画像信号S20R がラインバッファ175に書込ま
れ、セレクタ176はラインバッファ175から読出さ
れた画像信号を出力する。
【0051】ここに、メモリ制御回路173はラインバ
ッファ回路171R,171G,171B 等に対する制御
信号を出力する回路で、例えば図14に示すように構成
されている。まず、カウンタ177は、通常、セレクタ
178を介して入力されるライン同期信号S40でクリア
され、画素同期信号S41をカウントしており、その出力
は前記ラインメモリ174,175の下位書込みアドレ
ス信号等として使用される。また、アップダウンカウン
タ179は制御信号BUSoにより設定される読出し開
始アドレスにライン同期信号S40によって初期化され、
画像同期信号S41をカウントしており、その出力はライ
ンメモリ174,175の下位読出しアドレス信号とし
て使用される。また、フリップフロップ180は読出し
開始アドレスと同時に入力されるアップ・ダウンの制御
信号とラインメモリ174,175の上位アドレス信号
を保持している。なお、システム制御ユニット34は読
出し開始アドレスとアップ・ダウンの制御信号によって
斜体処理や鏡像処理を実現する。
【0052】また、フリップフロップ181はラインメ
モリ174,175等のトグル切換え用の制御信号S
361,S362を出力しており、この出力はセレクタ18
2,,183による下位アドレス信号の選択出力、OR
ゲート184,185によるライトイネーブル信号S
381,S382のマスクに使用される。
【0053】ついで、前記アップダウンカウンタ179
の出力は、コンパレータ186,187にも入力されて
いる。これらのコンパレータ186,187の他方の入
力には、制御信号BUSoによって有効画像範囲レジス
タ188に設定された主走査方向の有効画像範囲の開始
位置と終了位置を表す信号S42,S43が各々入力されて
いる。コンパレータ186,187の出力はORゲート
189に入力されている。よって、ORゲート189の
出力信号S44はラインメモリ174,175の下位読出
しアドレスが有効画像範囲内であるか否かを表してい
る。
【0054】再度、前記ラインバッファ回路171R
注目すると、信号S39はセレクタ176のゲート端子に
入力されており、これによってラインメモリ174,1
75からの読出しが有効画像範囲内の時に、セレクタ1
76はラインメモリ174又は175からの画像信号を
出力し、範囲外の時は白色(全ビットH)の画像信号を
出力する。
【0055】セレクタ176から出力された画像信号
は、セレクタ190及びレベル検出回路191に入力さ
れる。
【0056】レベル検出回路191は制御信号BUSo
により設定された値と画像信号とを比較する回路であ
る。本例では、3種類の値a,b,cが設定可能であ
り、これらの設定値は各々画像信号Diのほうが小さい
かどうかを比較するコンパレータと画像信号の上位ビッ
トDi′との一致を検出する2つのコンパレータに入力
されて、各々の比較結果が信号S451,S461,S471
して出力される。
【0057】なお、上述したようにラインバッファ回路
171R,171G,171B は同様な構成をしているが
レベル検出回路191等の値a,b,cは各々独立して
設定できるようになっている。
【0058】ラインバッファ回路171R〜171Bから
出力される信号S45,S46,S47等は、影付け制御回路
192中のORゲート193及びセレクタ194に入力
される。セレクタ194はORゲート193の出力がH
レベルの時(即ち、画像信号が白から離れている時)
に、制御信号BUSoにより設定され影長レジスタ19
5から出力される影の長さを表す信号S48と信号
471,S472,S473 を選択し、ORゲート193の出
力がLレベルの時(即ち、画像信号が白に近い時)は影
領域判定回路196が出力する信号S49,S50を選択し
てラインメモリ197に出力する。なお、このラインメ
モリ197に出力される信号S51は影の長さを表し、信
号S52は影の色を表している。
【0059】ラインメモリ197の制御は、メモリ制御
回路173から出力されたアドレス信号S373 とライト
イネーブル信号S383 により行われており、アドレス信
号S373 により指定されたアドレスのデータが読出さ
れ、影領域判定回路196に出力された後、セレクタ1
94から出力されるデータが同一アドレスに書込まれ
る。なお、ラインメモリ197からデータを読出してい
る間、セレクタ194の出力は信号S383 によりハイイ
ンピーダンス状態になっている。
【0060】前記影領域判定回路196は影付け領域の
判定等を行う回路であり、例えば図15に示すように構
成される。まず、ラインメモリ197から出力された信
号S51,S52はフリップフロップ198により信号S
383 の立下りにより保持される。フリップフロップ19
8が出力する影の長さを表す信号S53はコンパレータ1
99により長さが0であるか判定され、0の時はそのま
ま、0でない時は長さを1減じた信号がセレクタ200
により選択されてフリップフロップ201に出力され
る。このフリップフロップ201はセレクタ200が出
力する信号とフリップフロップ198が出力する影の長
さを表す信号S54を1画素分遅延して、図13中に示す
セレクタ194に出力する。また、コンパレータ199
により判定された影の長さが0でなく、かつ、信号S55
がLレベルの時は影領域であると判定され、セレクタ2
02はフリップフロップ198が出力する影の色を表す
信号S54を選択し、また、それ以外の時は全ビットLの
信号(色無し)を選択して反転信号S50として出力す
る。
【0061】再度、図13を参照すると、影領域判定回
路196から出力された信号S501,S502,S503はN
ORゲート203,204,205に入力される。ま
た、ラインバッファ回路171R,171G,171B
ら出力される信号S461〜S473等の信号は、各々NAN
Dゲート206,207に入力されており、これによ
り、画像信号が表す色と設定された色との一致が検出さ
れ、その結果はNORゲート208,209に入力され
る。
【0062】一方、領域信号S334,S335は処理無し/
影付け処理/指定色・色変換処理1/2の選択信号であ
り、デコーダ210を介して前記NORゲート203,
204,205,208,209に入力されている。即
ち、NORゲート203〜205は領域信号S33により
影付け処理が選択され、かつ、各色毎の影領域の判定結
果が真であるとき、Hレベルの信号を出力し、NORゲ
ート208は指定色・色変換1が選択され、かつ、画像
信号の表す色が設定された色(b等)に一致した時にH
レベルの信号を出力し、NORゲート209は指定色・
色変換2が選択され、かつ、画像信号の表す色が設定さ
れた色(c等)に一致した時にHレベルの信号を出力す
る。
【0063】色選択回路211R,211G,211B
は前記NORゲート203,204,205、208,
209の出力信号に各々対応した値が制御信号BUSo
により設定されており、色選択回路211は各NORゲ
ートの出力信号がHレベルになると対応する値を、ま
た、各NORゲートの出力が全てLレベルの時はセレク
タ190R,190G,190B からの信号を、各々画像
信号S35R,S35G,S35B として出力する。
【0064】また、パターン発生回路212は、画像処
理部動作チェック用パターンの画像信号等を画像同期信
号S10に同期して出力する回路であり、制御信号BUS
oによってこれらのパターンが選択され、同時に、パタ
ーン発生回路212が出力する画像信号をセレククタ1
90R,190G,190B に選択させるか否かの設定も
行われる。
【0065】さらに、画像信号選択回路213はライン
メモリ174又は175等に記憶された画像信号を選択
して信号線BUSi上に出力する回路で、画像信号の選
択等は制御信号BUSoによって行われる。
【0066】即ち、システム制御ユニット34は原稿走
査時の副走査方向の位置に応じて図14のフリップフロ
ップ180に設定する上位アドレス信号を切換え、これ
により、ラインメモリ174,175に記憶した画像信
号を書換えないようにする。その後、読出し制御レジス
タ214の設定を変え、制御信号BUSoからライン同
期信号S41と画素同期信号S42とを発生させて主走査方
向の位置を調整し、ラインメモリ174,175等から
出力される画像信号を画像信号選択回路213により選
択して取込む。
【0067】このように、本実施例ではシステム制御ユ
ニット34が原稿の所定の位置の色を検出できるので、
原稿の色に応じたレベル検出回路178や色選択回路2
11等の設定が可能となっている。
【0068】再度、図1に着目すると、加工処理回路7
3から出力された画像信号S35R,S35G,S35Bは第1
フィルタ処理回路74に入力される。
【0069】この第1フィルタ処理部について図16を
参照して説明する。第1フィルタ処理回路74は、画像
信号S35R,S35G,S35B に3ライン×5画素の2次元
フィルタ処理を施し処理済みの画像信号S55R,S55G
55B を出力する回路である。第1フィルタ処理回路7
4は各々画像信号毎に独立した処理回路74R,74G
74B により構成されており、その個々は図16に示す
ように構成されている。
【0070】まず、入力された画像信号S35はFIFO
メモリ221に入力され、さらにその出力はFIFOメ
モリ222に入力されている。また、画像信号S35及び
FIFOメモリ221,222の出力は、各々回路ブロ
ック223a〜223cに入力されている。即ち、回路
ブロック223には連続した3ラインの各画像信号が同
時に入力されている。なお、これらの回路ブロック22
3a〜223cは何れも同じ構造であるため、図中で
は、回路ブロック223bのみにその内部構造を示す。
【0071】回路ブロック223bには連続した5画素
の画像信号を保持する5段のフリップフロップ224a
〜224eがあり、各ラインの中心画素に対して対称の
位置にある画像信号同士は、加算器225,226によ
って加算処理される。また、回路ブロック223bから
は中心画素S56b と加算結果S57b,S58bなる画像信号
が出力されている。
【0072】3ラインの画像信号のうち、両端に位置す
る回路ブロック223a,223cの出力は、各々対応
する信号同士が加算器227,228,229により加
算処理され、画像信号S59,S60,S61として出力され
る。
【0073】以上の処理によって、対称位置にある画像
信号の総和が求められる。つぎに、重み付けが等しい画
像信号同士(S57b とS60、S58b とS59)が、加算器
230,231により加算される。
【0074】なお、このフィルタ回路のフィルタ係数
は、表2に示すような平滑化2種、エッジ強調4種及び
スルーからの選択が可能とされている。ここで、平滑化
2種及びエッジ強調4種からの選択は、制御信号BUS
oによって書込まれた係数選択レジスタ232の出力値
によって決定される。また、平滑化/エッジ強調/スル
ーの切換えは、領域信号S336,S337により制御されて
いる。
【0075】
【表2】
【0076】つぎに、重み付け加算が行われる。平滑化
処理では、加算器433によって画像信号S63,S61
重み付け加算され、乗算器434は係数選択レジスタ2
32の出力信号S641 に応じた係数で画像信号S62を乗
算処理し、さらに、これらの2つの演算結果は加算器4
35によって加算される。一方、乗算器436は信号S
641 に応じた係数で画像信号S56b を乗算処理し、その
結果は、加算器437によって前記加算器435の出力
と加算される。さらに、この加算結果は乗算器438に
よって信号S641 に応じた乗算処理を受け、セレクタ4
39に出力される。
【0077】一方、エッジ強調処理では画像信号S62
63が加算器440によって重み付け加算され、その結
果は符号変換回路441によって2の補数信号に変換さ
れる。乗算器442は係数選択レジスタ232の出力信
号S642 に応じた係数で画像信号S56b を乗算処理し、
その結果は、加算器443によって符号変換回路441
の出力とともに加算される。さらに、この出力は乗算器
444によって信号S642 に応じた係数の乗算処理が施
され、前記セレクタ439に出力される。
【0078】領域信号S336 は平滑化/エッジ強調の切
換え信号であり、セレクタ439はこの信号S336 に応
じて乗算器438の平滑化出力と乗算器444のエッジ
強調出力とを選択し、整形回路445に出力する。この
整形回路445は入力された信号のオーバフロー及び負
値の処理を行う回路であり、その結果をセレクタ446
に出力する。一方、このセレクタ446の他方の入力端
子には5×3画素の中心にあたる画素信号S56b が入力
されており、領域信号S337 はスルー/平滑化又はエッ
ジ強調の切換えに使用される。また、セレクタ446の
出力はフリップフロップ447を介して画像信号S55R
等として出力される。
【0079】以上、説明したように、本回路によれば平
滑化/エッジ強調/スルーの処理の切換えが、領域信号
33によりリアルタイムで制御できる。また、図1に示
した第1フィルタ処理回路74では係数選択レジスタ2
32の設定を処理回路74R,74G,74B毎に行え
る。
【0080】再度、図1に着目すると、第1フィルタ処
理回路74から出力される画像信号S55R,S55G,S
55B は外部I/F75に入力される。
【0081】このI/F部について図17ないし図19
を参照して説明する。外部I/F回路75は受信手段及
び送信手段を構成し、画像処理部10と外部機器36と
が画像信号の授受を行うための回路であり、例えば図1
7に示すように構成されている。図17を参照すると、
画像信号S55R,S55G,S55B はセレクタ451に入力
されており、外部機器36から画像信号が送られてこな
い場合は、この画像信号S55R,S55G,S55B がセレク
タ451により選択され、フリップフロップ452を介
して画像信号S65R,S65G,S65B として出力される。
【0082】また、外部機器36から画像信号が入力さ
れる場合は、セレクタ451の他方の入力端子にこの外
部機器36から送られてきた画像信号が入力されてい
る。即ち、外部機器36から送られてくる画像信号S
665 は、バッファ453、セレクタ454、フリップフ
ロップ455を介して画像記憶手段となるFIFOメモ
リ456又は457に書込まれる。ここで、これらのF
IFOメモリ456,457への書込みの制御には、外
部機器36から送られてくる画素同期信号S661 とライ
ン同期信号S662 、或るいは、同期信号分周回路458
が出力する画素同期信号S678 が、セレクタ459で選
択されて使用される。また、FIFOメモリ456,4
57からの読出しは前記同期信号分周回路458が出力
し、セレクタ460によって選択された画素同期信号S
675 とライン同期信号S676 により行われ、読出された
画像信号はフリップフロップ461を介して前記セレク
タ451に入力されている。これらの同期信号分周回路
458及びセレクタ459,460により書込み/読出
し制御用の制御手段462が構成されている。
【0083】なお、セレクタ451による画像信号の選
択は、同期信号分周回路458から出力される選択信号
671 により制御されており、これにより、スキャナユ
ニット1側からの画像信号S55R,S55G,S55Bと外部
機器36からの画像信号S665との合成出力も可能とな
っている。
【0084】この外部I/F回路75は外部機器36に
対して画像信号を出力することもできる。この場合、後
述する第1γ変換回路76から出力された画像信号S
70R,S70G,S70Bがセレクタ454により選択され、
フリップフロップ455を介してFIFOメモリ456
又は457に書込まれる。ここで、FIFOメモリ45
6,457への書込み制御には、同期信号分周回路45
8から出力され、セレクタ459によって選択された画
素同期信号S677とライン同期信号S678により行われ
る。また、FIFOメモリ456,457からの読出し
は、外部機器36から送られてくる画素同期信号S661
とライン同期信号S662、或るいは同期信号分周回路4
58が出力する画素同期信号S676 により行われ、読出
された画像信号はフリップフロップ461、バッファ4
53を介して外部機器336に送信される。
【0085】なお、バッファ453の入出力の選択及び
セレクタ454の選択制御や、セレクタ459,460
の選択制御は、制御信号BUSoにより設定される入出
力レジスタ463の出力信号により行われる。
【0086】また、同期信号分周回路458から出力さ
れるフレーム同期信号S672、 ライン同期信号S673
び画素同期信号S674 は、バッファ464を介して外部
機器36に出力されており、外部機器36はこれらの信
号に基づいた画像信号の入出力、或るいは、これらの信
号から外部機器36で生成した画素同期信号S661、ラ
イン同期信号S662に基づいた画像信号の入出力を行
う。
【0087】上述した同期信号分周回路458は画像同
期信号S10、領域信号S338 及び制御信号BUSoによ
る設定に基づく画像同期信号S672〜S678、セレクタ4
51の選択信号S671 、FIFOメモリ456,457
のトグル制御信号S679,S6710を出力する回路であ
る。なお、この外部I/O回路75では、外部機器36
との画像信号の授受を、複写機本体35の画素密度(高
解像度モード)とその1/2の画素密度(標準モード)
との2通りで行えるようになっており、同期信号分周回
路458ではこの密度変換のための画像同期信号を生成
している。
【0088】図18に高解像度モード時の画像同期信号
の概要を示し、図19に標準モード時の画像同期信号の
概要を示す。図18に示すように、高解像度モードでは
同期信号発生回路71の出力するライン同期信号S1011
及び画素同期信号S1010がそのまま同期信号分周回路4
58から出力される。また、トグル制御信号S679,S
6710 はライン同期信号S673,S676,S678 を出力す
る度に反転して、FIFOメモリ456,457の読出
し/書込みを切換える。
【0089】また、図19に示すように標準モードで
は、ライン同期信号S1011を2分周した信号、この信号
により反転するトグル制御信号S679,S6710 、画素同
期信号S1010を2分周、4分周した信号が同期信号発生
回路71により生成され、外部機器36には2分周した
ライン同期信号S673 と4分周した画素同期信号S674
が出力される。外部機器36から画像信号が入力される
場合、セレクタ459には分周しないライン同期信号と
2分周した画素同期信号とが出力される。これにより、
外部機器36から送られてくる画像は2倍に拡大されて
複写機本体35に取込まれる。また、外部機器36に画
像信号を出力する場合は、セレクタ459には分周しな
いライン同期信号と2分周した画素同期信号とが出力さ
れ、外部機器36には2分周したライン同期信号と4分
周した画素同期信号とが出力される。これにより、外部
機器36には1/2に縮小された画像が出力される。
【0090】また、図19に示すように、画素同期信号
1010を2分周、4分周した信号は、2分周されたライ
ン同期信号の立下りでクリアされ、信号の位相が一定に
なるように制御される。
【0091】なお、このような画像処理部10と外部機
器36とが行う画像信号の授受の方向、高解像度/標準
モードの切換えは、外部機器36とシステム制御ユニッ
ト34との通信により決定され、システム制御ユニット
34により設定される。また、スキャナユニット1及び
外部機器36からの画像信号の合成制御は、領域信号S
338 により行われる。
【0092】再度、図1に着目すると、外部I/F回路
75から出力された画像信号S65R,S65G,S65B は第
1γ変換処理回路76に入力される。
【0093】この第1γ変換処理部について図20及び
図21を参照して説明する。この第1γ変換回路76は
階調性変換手段として作用するもので、スキャナユニッ
ト1や外部機器36のγ特性に応じて、画像信号
65R,S65G,S65B にLUT(ルック・アップ・テー
ブル)変換を施し、処理済みの画像信号S70R,S70G
70B を出力する回路である。なお、本デジタルカラー
複写機の第1γ変換回路76では(1)式に示すような反
射率の3乗根に比例した画像信号に変換している。即
ち、入力される画像信号の反射率換算値をX、出力され
る画像信号値をX′、入力画像信号の地肌レベルの反射
率換算値をH、入力画像信号の最暗部の反射率換算値を
S、3乗根を求める関数をcubt( )とすると、
【0094】
【数3】
【0095】なる式で示される処理が行われる。
【0096】第1γ変換回路76は、各々画像信号毎に
独立した処理回路76R,76G,76B により構成さ
れ、各回路は例えば図20に示すように構成されてい
る。まず、画像信号S65R 等と領域信号S339 はフリッ
プフロップ471、セレクタ472を介してRAM47
3のアドレス端子に入力されている。RAM473には
画像信号を変換するためのLUTデータが予め記憶され
ており、フリップフロップ474を介してアドレス信号
に対応した画像信号S70R 等が出力される。
【0097】また、RAM473に記憶されているLU
Tデータは制御信号BUSoによってRAM473に書
込まれる。即ち、システム制御ユニット34がRAM4
73にデータを書込む場合には図21に示すような制御
信号S714 をLレベルとし制御信号S711 を1パルス出
力する。これにより、カウンタ475の出力はクリアさ
れ、アドレス信号としてRAM473に入力される。次
に、システム制御ユニット34は制御信号S713 に所定
のデータを出力してから制御信号S712 を1パルス出力
する。これにより、RAM473に最初のデータが書込
まれ、同時にカウンタ475の出力が進んで次のデータ
書込みの準備が行われる。これを所定の回数(n回)繰
返すことにより必要なデータは書込まれ、最後に制御信
号S714をHレベルにして書込みを終了する。
【0098】また、RAM473には複数種の変換特性
のLUTデータが書込み可能とされており、操作・表示
ユニット33からの指示に応じ領域信号S339 によるリ
アルタイムの切換えができる。
【0099】再度、図1に着目すると、第1γ変換回路
76から出力された画像信号S70R,S70G,S70Bは色
補正回路77に入力される。
【0100】この色補正・BP処理部について図22及
び図23を参照して説明する。この色補正回路77は色
補正処理手段として作用するもので、入力された画像信
号S70R,S70G,S70B を、プリンタユニット2で用い
られるBK,M,Y,Cの各トナーの不要吸収成分を考
慮した画像信号S72BK,S72M,S72Y,S72C に変換し
て出力する回路であり、その処理内容は(2)式の1次積
和演算により表すことができる。
【0101】
【数4】
【0102】ただし、R,G,Bは画像信号S70R,S
70G,S70B に対応し、BK,M,Y,Cは画像信号S
72BK,S72M,S72Y,S72C に対応する。
【0103】色補正回路77は各々出力画像信号毎に独
立した処理回路77BK,77M,77Y,77Cにより構
成されており、その一つを示すと例えば図22のように
構成されている。
【0104】まず、画像信号S70R,S70G,S70Bはフ
リップフロップ481R,481G,481B、フリップ
フロップ482R,482G,482B を介して乗算器4
83R,483G,483Bに入力されている。また、フ
リップフロップ481R,481G,481Bの出力は係
数発生ブロック484にも入力されている。この係数発
生ブロック484は、乗算器483R,483G,483
B の他方の入力端子に対して係数信号S73R,S73G,S
73B を出力する回路で、この係数信号はフリップフロッ
プ485R,485G,485B を介して係数切換え手段
となるRAM486R,486G,486B から出力され
ている。ここで、RAM486R,486G,486B
出力する係数信号は、画像信号S70R,S70G,S70B
領域信号S33によって選択されたものである。即ち、フ
リップフロップ481R,481G,481Bの出力はコ
ンパレータ487R,487G,487Bに入力されてお
り、これらのコンパレータ487からは画像信号相互の
大小関係に応じた信号が出力されセレクタ488に入力
される。セレクタ488は領域信号S3310に応じてコン
パレータ出力又は領域信号S3311を選択して出力する。
その出力は領域信号S3312とともにセレクタ489を介
して、アドレス信号として前記RAM486R,48
G,486Bに入力され、これにより係数の選択が行わ
れる。即ち、コンパレータ487R,487G,487B
及びセレクタ488,489によりRAM486R,4
86G,486B に対する制御手段が構成されている。
【0105】ちなみに、コンパレータ487R,48
G,487B の出力を使用した係数の選択は、フルカ
ラーモード時のみ使用され、モノカラーモード時には領
域信号S33によって直接的に係数の選択が行われる。
【0106】また、RAM486R,486G,486B
及びRAM490に記憶されている係数は、制御信号B
USoによって予め書込まれている。即ち、システム制
御ユニット34は制御信号S715 をLレベルにし、制御
信号S711 を1パルス出力する。これによって、カウン
タ491の出力はクリアされ、その下位ビットがアドレ
ス信号としてRAM486R,486G,486B 及びR
AM490に出力される。また、カウンタ491の上位
ビットはデコーダ492に入力されており、デコーダ4
92はRAM486R を選択する。次に、システム制御
ユニット34は制御信号S713 に所定のデータを出力し
てから制御信号S712 を1パルス出力する。これによっ
て、RAM486R には最初のデータが書込まれ、同時
にカウンタ491が進んで次のデータの書込み準備が行
われる。システム制御ユニット34はこれを繰返し、R
AM486R に必要なデータを書込み、さらに、これを
繰返してRAM486G,486B及びRAM490へも
必要なデータを書込む。これも終了すると、システム制
御ユニット34は制御信号S715 をHレベルにして、書
込み動作を終了する。
【0107】一方、乗算器483R,483G,483B
の出力は、RAM490の出力とともに加算器491,
492,493によって加算され、整形回路494に入
力される。整形回路494は加算結果のオーバフロー及
び負値の処理を行い、その結果をフリップフロップ49
5を介して画像信号S72BK等として出力する。
【0108】なお、RAM490は(2)式の定数項(a
14〜a14)に相当する信号を出力しており、その出力値
は領域信号S3313によってリアルタイムに選択可能とさ
れている。
【0109】次に、フルカラーモード時に色補正回路7
BK,77M,77Y,77C に設定される係数a11〜a
44について説明する。色補正回路77における処理は
(2)式に示したような1次の関数で表されるが、フルカ
ラー処理を行う時は、上述したようなコンパレータ48
R,487G,487B等による係数の切換えも行って
いる。これによって、表3に示すような画像信号R,
G,Bで形成される色空間を無彩色軸(R=G=B)を
中心として放射状に広がる平面で分割された領域毎に、
最適な係数a11〜a34を設定できるようにされている。
【0110】
【表3】
【0111】また、実際に設定される係数a11〜a
44は、図23に示すような各領域の境界面上の6つの有
彩色と各色空間に共通の2つの無彩色の画像信号R,
G,Bと、それに対応する画像信号BK,M,Y,Cに
基づいてシステム制御ユニット34より求められる。
【0112】なお、上述した説明では、第1γ変換回路
76が(1)式に従い反射率の3乗根に比例した画像信号
を出力するものとしているが、このような反射率の3乗
根に限らず、一般論でいえば、反射率のn乗根(ただ
し、nは1.5〜5)に比例した画像信号に変換するも
のであれば、ほぼ同等の効果が得られる。
【0113】この一般論を数式で示せば、(3)式のよう
になる。ただし、画像ハイライト部の基準信号値をXHi
((1)式のHに相当)、画像シャドウ部の基準信号値を
Si((1)式のSに相当)とし、ほぼ反射率に比例した
階調性を有するR,G,B信号等のデジタル色分解信号
をXi (i=1,2,3)、出力されるデジタル画像信
号をYi ((1)式のX′に相当)とする。
【0114】
【数5】
【0115】これに対応して、色補正回路77による
(2)式の1次の積和演算を、係数a,b,c,dを定数
として一般式で示すと、デジタル記録信号Zは、 Z=aY1+bY2+cY3+d …………(4) のようになる。
【0116】このように、画像信号を第1γ変換処理回
路76において、ほぼ反射率のn乗根に比例した階調性
を有する画像信号に変換するのであれば、高濃度領域に
おける信号の飛びはあまり大きくならないので、疑似輪
郭や画像のザラツキ感の発生を防ぐことができる。
【0117】また、同時に色補正回路77に入力される
画像信号がほぼ反射率のn乗根に比例した階調特性を有
し、(2)式に示した1次の積和演算により色補正処理を
行なえば、色再現性を考慮して決定される係数a11〜a
44の値があまり大きくならないので、係数保持のための
レジスタや乗算器のビット数を多くする必要がないもの
となる。
【0118】さらに、上述した説明では、フルカラーモ
ード時に、画像信号R,G,B相互の大小関係に応じて
係数の選択を行なうようにしているが、このような画像
信号R,G,Bで形成される色空間を複数の領域に分割
し、各領域毎に係数を最適化することにより、色補正処
理の処理精度を向上させることができる。
【0119】また、領域の境界は、画像信号R,G,B
で形成される色空間を無彩色軸(R=G=B)を中心と
して放射状に広がる平面で区分すればよい。特に、領域
の境界面を、 b1R+b2G−(b1+b2)B=0 ………………(5) (ただし、b1,b2は同時には0とはならない整数)で
示すような平面にすれば、入力される信号(R,G,
B)が境界面のどちら側に属しているかの判定が、左辺
の計算結果の正負によって行なえるので、領域判定のハ
ード化が容易となる。
【0120】再度、図1に着目すると、色補正回路77
からの画像信号S72BK,S72M,S72Y,S72C はUCR
処理回路78に入力される。
【0121】このUCR/UCA処理部について図24
を参照して説明する。UCR(Under Color Remova
l)処理回路78は、色補正回路77で得られた画像信
号S72BKに応じて、画像信号S72M,S72Y,S72C を補
正する回路である。なお、色補正回路77から出力され
る画像信号S72BK,S72M,S72Y,S72C は、黒BKの
記録を考慮していない信号であり、これをそのまま記録
に用いると黒BKの分だけ出力画像の鮮やかさが失われ
るので、この回路はその補正のために設けられている。
UCR処理回路78は各々独立した処理回路78BK,7
M,78Y,78C で構成されており、処理回路7
M,78Y,78C の一つを示すと例えば図24のよう
に構成されている。
【0122】まず、画像信号S72BKと画像信号S72M
72Y,S72C とは、各々フリップフロップ501,5
02を介してROM503のアドレス信号として入力さ
れている。ROM503には(6)(7)式に示すような2
種類の演算の結果が、予め所定のアドレスに記憶されて
おり、ROM503からその演算結果が読出され、セレ
クタ504、フリップフロップ505を介して、画像信
号S75M,S75Y,S75C等として出力される。領域信号
3314は次の(6)式又は(7)式 X′=X−BK …………………(6) (ただし、X=M,Y,C) X′=u(BK)・(X−BK) …………(7) (ただし、u(BK)はBKの関数)による演算の切換え
に用いられ、画像信号S72BK,S72M と同様にROM5
03に入力されている。なお、(6)式による処理は、通
常のUCR処理であるのに対し、(7)式による処理はU
CA(Under Color Addition) も考慮に入れた処理
である。
【0123】また、領域信号S3315は上述した処理を行
うかどうかの選択に用いられる。また、図1中に示した
処理回路78BKは処理回路78M,78Y,78C で生ず
る画像信号の遅れに合わせて、画像信号S72BKを遅らせ
て画像信号S75BKとする回路である。
【0124】このようなUCR処理回路78から出力さ
れた画像信号S75BK,S75M,S75Y,S75C は第2γ変
換処理回路79に入力される。
【0125】この第2γ変換処理部について説明する。
この第2γ変換処理回路79はプリンタユニット2の状
態や後述する多値ディザ処理回路82で選択されるディ
ザパターンに応じて、画像信号S75BK,S75M,S75Y
75C をLUT変換して、処理済みの画像信号S76BK
76M,S76Y,S76C を出力する回路である。この第2
γ変換処理回路79は各々画像信号毎に独立した処理回
路79BK,79M,79Y,79Cにより構成されてお
り、各回路は図20に示したような第1γ変換処理回路
76の場合と同様に構成されている。従って、その詳細
は省略するが、複数種の変換特性のLUTデータの書込
みと領域信号S3316によるリアルタイムの切換えが可能
とされている。
【0126】第2γ変換処理回路79から出力された画
像信号S76BK,S76M,S76Y,S76C の上位6ビットは
原稿サイズ検出回路80に入力される。
【0127】この原稿サイズ検出処理部について図25
ないし図27を参照して説明する。原稿サイズ検出回路
80は、コピー動作に先立ってプラテン3上に載置され
た原稿4の大きさ、位置を検出する等の処理を行うため
の回路である。原稿サイズ検出回路80は各々独立した
処理回路80BK,80M,80Y,80C で構成されてお
り、その一つを示すと図25のように構成されている。
【0128】まず、画像信号S76BK,S76M,S76Y,S
76C 等はフリップフロップ511を介してセレクタ51
2、ディレイ回路513及び差分回路514に入力され
る。ディレイ回路513は入力された画像信号をn画素
分遅延させる回路で、その出力も差分回路514に入力
される。差分回路514は入力される2つの画像信号の
差の絶対値を出力する回路で、その出力はコンパレータ
515に入力され、そこで原稿サイズレジスタ516が
出力する閾値信号S771 と比較される。即ち、n画素離
れた画像信号の差の絶対値が閾値信号S771 より大きけ
ればコンパレータ515はHレベルを出力するように構
成されている。なお、本回路では原稿部と非原稿部(圧
板)との境界を、画像信号の値の差によって検出するよ
うにしており、そのため、コンパレータ515の出力が
Hレベルになる画素は、原稿部と非原稿部との境界候補
として扱われる。
【0129】このコンパレータ515の出力はシフトレ
ジスタ517に入力され、n画素分の比較結果がまとめ
られて主走査方向判定回路518に入力される。この主
走査方向判定回路518は入力されたn画素分の判定結
果のうち、m(m≦n)画素以上がHレベルであれば原
稿部と非原稿部の境界の候補であるとみなして、Hレベ
ルの信号を出力する。主走査方向判定回路518の出力
はFIFOメモリ519に入力され、n′ライン分の判
定結果がまとめられ副走査方向判定回路520に入力さ
れる。この副走査方向判定回路520では、入力された
n′ライン分の判定結果のうち、m′(m′≦n′)ラ
イン以上がHレベルであれば、原稿部と非原稿部との境
界の候補であるとみなして、Lレベルの信号を出力す
る。
【0130】ところで、原稿サイズ検出回路80は図2
6に示すような原稿4と非原稿部との境界の主走査方向
の最小値x1、最大値x2、副走査方向の最小値y1、 最
大値y2 を検出する回路であり、図25ではこれらの値
1,x2,y1,y2を各々フリップフロップ521〜5
24に保持するように動作する。即ち、カウンタ52
5,526は各々主走査方向、副走査方向の位置をカウ
ントしており、例えばフリップフロップ521はカウン
タ525の出力がフリップフロップ521が保持してい
る値より大きく、かつ、副走査方向判定回路520の出
力がLレベルの場合に、カウンタ525の出力値を保持
するように動作する。また、フリップフロップ522で
はカウンタ525の出力がフリップフロップ521が保
持している値より小さい場合にフリップフロップ522
の値を更新する。さらに、フリップフロップ524は最
初に副走査方向判定回路520の出力がLレベルになっ
た時のカウンタ526の出力を保持し、フリップフロッ
プ523は副走査方向判定回路520の出力がLレベル
になる度にカウンタ526の出力を保持する。これによ
り、フリップフロップ523には最後に副走査方向判定
回路520の出力がLレベルになった時のカウンタ52
6の出力が保持される。
【0131】また、フリップフロップ521〜524に
保持されたデータは、制御信号BUSoにより設定され
た原稿サイズレジスタ516の出力信号S772,S773
よって選択されてセレクタ527を介して信号線BUS
i上に出力される。
【0132】なお、以上で述べた回路は、分周回路52
8によって図27に示すように4分周された画像同期信
号S78及びライン同期信号S79によって動作しており、
これによって、プラテン3上に付着した小さなごみを境
界として検出しないようにしている。また、図26に示
すようなプラテン3部の境界を原稿4と非原稿部(圧
板)との境界と判定しないように、プラテン3を外れる
領域は、色補正回路77と領域制御回路84との設定に
より、圧板と同色にペイントしている。
【0133】セレクタ512にはフリップフロップ51
1が出力する画像信号の他、カウンタ525,526の
出力の上位ビットや非記録データ(=0)も入力されて
おり、これらの信号は後段の画像処理回路やプリンタユ
ニット2のテスト用画像信号として、原稿サイズレジス
タ516から出力される信号S774 により選択できる。
【0134】再度、図1に着目すると、原稿サイズ検出
回路80から出力された画像信号S80BK,S80M
80Y,S80Cは第2フィルタ処理回路81に入力され
る。
【0135】この第2フィルタ処理部について図28及
び図29を参照して説明する。第2フィルタ処理回路8
1は、画像信号S80BK,S80M,S80Y,S80C に3ライ
ン×5画素の2次元フィルタ処理を施して、処理済みの
画像信号S81BK,S81M,S81Y,S81Cを出力する回路
である。第2フィルタ処理回路81は各々画像信号毎に
独立した処理回路81BK,81M,81Y,81C により
構成されており、各処理回路は例えば図28に示すよう
に構成されている。
【0136】まず、入力された画像信号S80BK等はFI
FOメモリ541に入力され、さらにその出力はFIF
Oメモリ542に入力されている。また、画像信号S
80BK、FIFOメモリ541,542の出力は、各々回
路ブロック543a〜543cに入力されている。従っ
て、これらの回路ブロック543a〜543cには連続
した3ラインの画像信号が入力されている。また、これ
らの回路ブロック543a〜543cは同じ構造をして
おり、図28では回路ブロック543bのみ、その詳細
を示す。回路ブロック543には連続した2画素の画像
信号を保持するフリップフロップ544,545があ
り、フリップフロップ544の出力は乗算器546〜5
49に入力され、フリップフロップ545の出力は乗算
器550に入力されている。また、これらの乗算器54
6〜550の他方の入力端子には仮のフィルタ係数を保
持するフリップフロップ551〜555の出力が接続さ
れている。乗算器550,546の出力は加算器556
により加算され、その結果はフリップフロップ557に
よって遅延された後、加算器558によって乗算器54
7の出力と加算される。さらに、その結果はフリップフ
ロップ559によって遅延された後、加算器560によ
って乗算器548の出力と加算される。以下、フリップ
フロップ561、加算器562を用いて同様にして遅延
・加算が繰返され、最終的な結果がフリップフロップ5
63から出力される。なお、この結果は1ライン×5画
素のフィルタ処理を行った結果と等しくなっている。
【0137】各ブロック回路543a〜543cの出力
は、加算器564,565により加算され、フリップフ
ロップ566を介して乗算器567に入力されている。
乗算器567の他方の入力端子にはフリップフロップ5
68,569に保持されている係数が入力されており、
これらの乗算結果は整形回路570に入力される。な
お、本回路の真のフィルタ係数はフリップフロップ56
8,569に保持されている係数と仮のフィルタ係数
(フリップフロップ551〜555等の出力)の積とし
て表される。
【0138】整形回路571はフリップフロップ570
の出力信号に応じて2つのモードで動作する回路であ
る。第1のモードは乗算器567が出力する信号のオー
バフロー及び負値の処理を行うモードであり、第2のモ
ードは乗算器567が出力する信号の絶対値をとってか
らオーバフローの処理を行うモードである。なお、後者
のモードは表4に示すラプラシアンフィルタによる輪郭
処理を行う場合のみ使用されるモードで、通常のフィル
タ処理では前者のモードが用いられる。
【0139】また、整形回路571から出力される画像
信号はセレクタ572、フリップフロップ573を介し
て画像信号S81BK等として出力される。
【0140】ところで、セレクタ572の他方の入力端
子には5×3画素の中心にあたる画像信号も入力されて
おり、領域信号S3317によってその切換えが行われる。
即ち、本回路ではフィルタ処理を行った結果と、行わな
い結果(スルー)をリアルタイムで切換え得る。また、
フリップフロップ574a〜574eはフィルタ処理に
よって生ずる遅れを補正する働きをする。
【0141】また、フリップフロップ551〜555,
568〜570等に保持されるデータは制御信号BUS
oによって書込まれる。即ち、これらのフリップフロッ
プ群はシフトレジスタ構造のものであり、システム制御
ユニット34は制御信号S716 をLレベルにした後、制
御信号S713 に所定のデータを出力し制御信号S712
1パルス出力することを繰返す。これにより、順々にデ
ータがシフトされ、最後に制御信号S716をHレベルに
することで設定を終了する。
【0142】以上の説明から明らかなように、本回路は
任意のフィルタ係数が設定できるようになっている。な
お、システム制御ユニット34では表4に示すようなフ
ィルタ係数を記憶しており、操作表示ユニット33から
の指示等に応じてフィルタ係数を選択して設定を行う。
【0143】
【表4】
【0144】図29は、表4の各種フィルタ係数に対応
した各種フィルタ処理例を模式的に示す説明図である。
【0145】再度、図1に着目すると、第2フィルタ処
理回路81から出力された画像信号S81BK,S81M,S
81Y,S81Cは多値ディザ処理回路82に入力される。
【0146】このディザ処理部について図30及び図3
1を参照して説明する。多値ディザ処理回路82は、画
像信号S81BK,S81M,S81Y,S81C に8値のディザ処
理を施し、処理済みの各3ビットの画像信号S82BK,S
82M,S82Y,S82C を出力する回路である。この多値デ
ィザ処理回路82は各々画像信号毎に独立した処理回路
82BK,82M,82Y,82C により構成されており、
その一つを示すと図30のように構成されている。ま
ず、画像信号S81BK等はフリップフロップ580を介し
てアドレス信号としてROM581,582に入力され
ている。また、ROM581のアドレス信号としてカウ
ンタ583,584の出力とパターン選択レジスタ58
5のPS出力も入力されており、ROM582のアドレ
ス信号としてカウンタ586,587の出力とパターン
選択レジスタ588のPS出力も入力されている。これ
らのROM581,582には各々画像信号の値とカウ
ンタ出力値によって定まる多値ディザ処理後の結果が記
憶されており、その結果がROM581,582から出
力される。また、パターン選択レジスタ585,588
が出力するPS信号は、各ROM581,582に記憶
されている2種類の多値ディザパターンの処理結果の一
つを選択するための信号である。
【0147】上述したカウンタ583,586とカウン
タ584,587とは、各々画素同期信号S711、ライ
ン同期信号S712によりカウントされ、ライン同期信号
712、フレーム同期信号S713 によりクリアされる。
また、カウンタ583,584,586,587とコン
パレータ589〜592は、各々一対のn進カウンタを
形成しており、その周期はパターン選択レジスタ58
5,588のLP出力により決定される。
【0148】ROM581,582から出力される画像
信号は、セレクタ593、フリップフロップ594を介
して画像信号S82BK等として出力される。ここで、セレ
クタ593には領域信号S3318が入力されており、この
信号はパターン選択レジスタ585,588によって2
つに絞られたディザパターンを、リアルタイムで切換え
るために用いられる。また、同期信号発生回路71から
出力された主走査方向イレース信号S717 及び副走査方
向イレース信号S718 はORゲート595、フリップフ
ロップ596を介してセレクタ593のゲート端子に入
力されており、この信号は画像信号S82BK等に関わりな
く白色の画像信号を出力するために用いられる。また、
パターン選択レジスタ585,588への設定は、制御
信号BUSによって行われる。
【0149】ROM581,582に記憶されている多
値ディザパターン例を示すと、表5のようになる。表5
において、レベル1〜7は8値化レベルの閾値を示す。
また、ROM581には画像信号S81BK,S81M
81Y,S81C に共通な2つのパターン(a.網点型、
b.万線型)の処理結果が記憶されており、ROM58
2には画像信号S81BK,S81M,S81Y,S81C に共通な
パターン(c.万線型)と画像信号毎に異なるパターン
(d.網点型)の2つの処理結果が記憶されている。な
お、画像信号S81M,S81C用のdのパターンは、小さな
閾値パターンが繰返し使用され、全体として10画素×
10画素のディザパターンとされている。
【0150】
【表5】
【0151】図31はその一部を模式的に示すもので、
同図(a)は画像信号S81M に対する10画素×10画素
中のレベル7に対応するROM582の内容を示し、同
図(b)は画像信号S81C に対する10画素×10画素中
のレベル7に対応するROM582の内容を示す。
【0152】再度、図1に着目すると、多値ディザ処理
回路82から出力された画像信号S82BK,S82M
82Y,S82Cは遅延処理回路83に入力される。
【0153】このディレイ処理部について図32ないし
図39を参照して説明する。遅延処理回路83は図32
に示すように黒BK用の感光体14BKを原点として対応
する感光体14との距離に相当するライン数分、入力さ
れた画像信号S82BK,S82M,S82Y,S82Cを遅延させ
る回路であり、これにより、記録紙19上の同一位置に
画像信号S82BK,S82M,S82Y,S82Cによる画像が重
ね合わせられる。なお、本実施例の各感光体14間距離
lは110mmに設定されている。
【0154】図33に遅延処理回路83の構成例を示
す。画像信号S82BK,S82M,S82Y,S82C は分解版選
択回路601に入力され、その一つが選択されBK記録
用の画像信号として出力される。画像信号S82BK,S
82M,S82Y,S82C を個別にBKで記録して簡易印刷用
のマスタを作成する分解版モードで使用される。画像信
号の選択は、制御信号BUSoによって行われ、また、
通常の動作モードでは画像信号S82BKを選択できるよう
に設定される。
【0155】分解版選択回路601が出力する画像信号
と画像信号S82M,S82Y,S82C はセレクタ602に入
力される。また、セレクタ602にはパターン発生回路
603が出力する画像信号と選択信号も入力されてい
る。パターン発生回路603は遅延ライン数調整用パタ
ーンや遅延処理回路動作チェック用パターンの画像信号
等を画像同期信号S10に同期して出力する回路であり、
制御信号BUSoによってこれらのパターンが選択さ
れ、同時に、パターン発生回路603が出力する画像信
号をセレクタ602に選択させるか否かの設定も行われ
る。
【0156】セレクタ602によって選択された画像信
号はマスク処理回路604に入力される。マスク処理回
路604は制御信号BUSoの設定に応じて入力された
画像信号を個別に白色化するとともに、画素同期信号S
711 に同期して画像信号S83BK,S83M,S83Y,S83C
を出力する回路であり、例えば上述した分解版モードで
はM,Y,C記録用の画像信号を白色化してBKのみが
記録されるように動作する。
【0157】マスク処理回路604から出力される画像
信号S83M,S83Y,S83C はRAMブロック605〜6
08のデータ入力端子に接続されている。ただし、画像
信号S83M,S83CはRAMブロック608のデータ入力
端子にセレクタ609を介して選択的に接続されてい
る。
【0158】ここで、RAMブロック608の役割を説
明する。上述した各感光体14間の距離lに相当する遅
延回路を実現するために、RAMブロック605〜60
8は、通常図34(a)に示すような遅延モードで使用さ
れる。即ち、RAMブロック605,608はC用の、
RAMブロック606はY用の、RAMブロック607
はM用の画像信号の遅延に使用される。一方、図33に
示した遅延処理回路83はRAMブロック605〜60
8をフレームメモリとしても使用できるようになってお
り、この場合は図34(b)に示すようなフレームメモリ
モードで動作する。即ち、RAMブロック608はM用
の画像信号の記憶に転用され、これにより、M,Y,C
のメモリ容量が揃い、220mm×297mm(主走査方向
長さ)余りの面積のフレームメモリを実現している。よ
って、RAMブロック605,606は220×297
×16×16=16727040≒16M画素分の画像
信号の容量を、RAMブロック607,608は110
×297×16×16=8363520≒8M画素分の
容量を有している。
【0159】再度、図33に注目すると、メモリ制御回
路610〜612は、各々M,Y,C用RAMブロック
のアドレス信号とライトイネーブル等の制御信号を出力
する回路で、その出力はRAMブロック605〜608
に入力されている。なお、RAMブロック608には、
M用又はC用のアドレス信号等が、上述した遅延/フレ
ームメモリモードに応じてセレクタ609により選択さ
れて入力される。
【0160】また、メモリ制御回路610〜612は、
制御信号BUSoによる設定により動作モードが決定さ
れ、画像同期信号S10に同期して動作しており、さら
に、合成制御回路613が出力する信号S84をメモリの
書込み時に参照している。ここで、合成制御回路613
はRAMブロック605〜608をフレームメモリとし
て使用した時に、部分的な画像信号の書込みを制御する
回路で、この時の制御は、領域信号S3319或るいは画像
信号S82BKに基づいて行われる。なお、その他のモード
ではLレベルに固定された信号を出力する。
【0161】このようなメモリ制御回路612の回路構
成例を図35に示す。まず、主走査方向の位置を表す信
号を出力するカウンタ614は、ライン同期信号S712
でクリアされ画素同期信号S711 をカウントしており、
その出力信号はコンパレータ615,616に入力され
ている。メモリ制御レジスタ617は制御信号BUSo
によって設定され、各種制御パラメータ信号S85を出力
する回路であり、例えばパラメータ信号S851 は図36
に示すような主走査方向の有効画像開始位置を、パラメ
ータ信号S852 は主走査方向の有効画像幅を、パラメー
タ信号S853 はメモリモード時のリピート処理の主走査
方向のリピート幅を表している。
【0162】コンパレータ615の他方の入力端子には
パラメータ信号S851 が、コンパレータ616の他方の
入力端子には加算器618によるパラメータ信号
851,S852 の和が入力されている。従って、ORゲ
ート619はカウンタ614の表す位置が主走査方向の
有効画像範囲内にある時に画素同期信号S86を出力す
る。
【0163】カウンタ620はORゲート619の出力
する画素同期信号S86をカウントし、ANDゲート62
1を介して入力されるライン同期信号S712 等によって
クリアされる。ここで、カウンタ620の出力はRAM
ブロックにおける主走査方向のオフセットアドレスを意
味しており、その出力はコンパレータ622及び加算器
623に入力されている。コンパレータ622の他方の
入力端子にはパラメータ信号S853 が入力されている。
コンパレータ622はカウンタ620の値とパラメータ
信号S853 の値が一致するとLレベルの信号を出力し、
この出力はANDゲート621を介して前記カウンタ6
20のクリアに使用される。即ち、これにより主走査方
向のリピートが実現される。なお、コンパレータ622
によるカウンタ620のクリア動作は、RAMブロック
605〜608をフレームメモリモードに設定してリピ
ート動作を行わせる場合だけに使用される。その他のモ
ードでは(信号S852)<(信号S853)に設定されるの
で、クリア動作は生じない。
【0164】分周制御回路624は制御信号BUSoに
よる設定に応じて図37に示すようにライン同期信号S
712 を2分周する回路であり、通常の動作ではライン同
期信号S712 をそのまま出力している。
【0165】ライン同期信号S87をカウントし、AND
ゲート625を介してシステム制御ユニット34から入
力されるフレームメモリ同期信号S88等によってクリア
されるカウンタ626は、コンパレータ627に入力さ
れている。このコンパレータ627の他方の入力端子に
入力されるパラメータ信号S854 は、遅延モードでは副
走査方向の遅延ライン数を、フレームメモリモードでは
副走査方向のリピート幅を表しており、カウンタ620
の出力値がパラメータ信号S87の値に達する度にAND
ゲート625はLレベルを出力し、その結果、カウンタ
626はクリアされて、以降、この動作を繰返す。
【0166】フリップフロップ628はANDゲート6
25の出力でクリアされ、ライン同期信号S87が入力さ
れる度に、主走査方向の有効画像幅を表すパラメータ信
号S853 とフリップフロップ628の出力値の加算器6
29による和を、新しい値として出力している。この出
力は、副走査方向のオフセットアドレスを意味してお
り、主走査方向のオフセッアドレスとともに前記加算器
629によって加算され、真のアドレスが求められる。
【0167】ここで、上述した分周制御回路624の役
割を説明すると、分周制御回路624はフレームメモリ
モードにおける見掛け上のメモリの容量を2倍(440
mm×297mm)にする働きをする。即ち、ライン同期信
号を2分周することによって副走査方向のオフセットア
ドレスの進み方が1/2となり、これによって同じライ
ンの画像信号が連続2回読出され、面積的に2倍とな
る。また、このような見掛け上のメモリ容量を2倍にす
る場合も、フレームメモリへ画像信号を書込む時はライ
ン同期信号の2分周を行わず、副走査方向に1/2に縮
小して画像信号を書込んでいる。これにより、メモリへ
の書込み時間が速くなり、操作性が向上する。
【0168】また、上述したように、シアンC用のメモ
リ容量は、ディレイモード時は16+8=24M画素、
フレームメモリモード時は16M画素となる。このた
め、アドレス信号の上位2ビット(AD23,24)は
デコーダ630に入力されて8M画素単位のチップセレ
クト信号(CS0〜2)として、RAMブロックに出力
されている。
【0169】また、ORゲート631には、合成制御回
路613から入力された信号S84、ライン同期信号S87
の反転信号及び画素同期信号S86が入力されており、そ
の出力はライトイネーブル信号としてRAMブロックに
出力されている。即ち、RAMブロックではORゲート
631の出力がH→L→Hと変化した時に画像信号が書
込まれる。
【0170】図38にRAMブロック607の構成例を
示し、その動作タイミングを図39に示す。図38にお
いて、RAMブロック607は8M画素の容量を持つメ
モリアレイ632,633及びバッファ634により構
成されており、上述したチップセレクト信号(CS0,
CS1)はメモリアレイ632,633の選択に用いら
れる。この回路では、図39に示すようにアドレス信号
等が確定すると対応するRAMアレイのI/O端子から
記憶されていたデータが出力され、このデータは図33
で後述する出力制御回路によってラッチされる。ここ
で、ライトイネーブル信号が立下るとRAMアレイはハ
イインピーダンス状態となり、一方、バッファ634に
より画像信号DiがRAMアレイに入力される。RAM
アレイではライトイネーブル信号立上りでこの画像信号
を記憶して、画像信号が書換えられる。また、ライトイ
ネーブル信号がLレベルにならない場合は、記憶されて
いた画像信号がそのまま保持される。
【0171】なお、メモリ制御回路610,611は、
メモリ制御回路612と同様な構成であり、その説明を
省略する。
【0172】また、以上の説明では、メモリ制御回路6
10〜612は独立した回路として説明したが、メモリ
制御レジスタ617等に設定されるパラメータ信号S
851〜S853は各回路に共通であるので、副走査方向のオ
フセットアドレスを出力するための回路(625〜62
9)、加算器623、デコーダ630、パラメータ信号
854 、メモリフレーム同期信号S88を除いて、共通に
してもよい。
【0173】再度、図33を参照すると、RAMブロッ
ク605〜608から出力された画像信号は、出力制御
回路635に入力される。なお、RAMブロック608
の出力はバッファ636,637の動作によってRAM
ブロック605又は607の出力の何れかと一緒とされ
ている。即ち、メモリモードレジスタ638は上述した
遅延/フレームメモリモードの選択に際して制御信号B
USoによって設定されるレジスタで、ここから出力さ
れる信号により、上述したセレクタ609による画像信
号、アドレス信号等の選択と、バッファ636,637
の動作が制限されている。また、上述した画像信号S
841 も出力制御回路635に入力されている。
【0174】出力制御回路635は画像同期信号S10
従って、入力された画像信号の主走査方向の位置合わせ
を行うとともに、制御信号BUSoにより設定される非
有効画像範囲の画像信号を白色化し、図1に示すように
画像信号BKp,Mp,Yp,Cpとして出力する回路
である。また、出力制御回路635は画像信号とともに
画像処理部10の画像同期信号CLKpも出力してい
る。
【0175】このエリア処理部について図40ないし図
43を参照して説明する。領域制御回路84は上述した
領域信号S33を出力する回路であり、例えば図40に示
すように構成される。なお、この図40に示す領域制御
回路84では図41に示すような矩形領域の切換え制御
を行っており、この矩形領域の制御を実現するために制
御パターンをライン単位で分類し、この制御パターンを
図42に示すような主走査方向の切換え点座標xiと領
域番号信号aiという形でメモリ651に記憶して使用
するものである。
【0176】まず、ライン同期信号S712 でクリアされ
画素同期信号S711 をカウントするカウンタ652の出
力は、主走査方向の位置を示す信号としてコンパレータ
653に出力されている。コンパレータ653の他方の
入力端子には前記RAM651から出力される切換え点
座標信号xiが入力されており、両者が一致するとコン
パレータ653の出力はLレベルとなる。これにより、
ORゲート654はクロック信号をカウンタ655に出
力し、カウンタ655の出力が1進む。RAM651の
アドレス信号には、制御信号BUSoによって設定され
るオフセットアドレス信号Pjとカウンタ655の出力
との加算器656による和が使用されている。従って、
主走査方向のカウント位置が切換え点座標に一致すると
RAM651のアドレス信号が1進み、RAM651の
出力信号である切換え点座標信号xi及び領域番号信号
aiが更新される。また、これを繰返すことで主走査方
向の領域の切換えが行われる。
【0177】なお、カウンタ655はANDゲート65
7を介して入力されるライン同期信号S712 でクリアさ
れる。また、オフセットアドレス信号PjはANDゲー
ト658を介して入力されるライン同期信号S712でラ
ッチ659にラッチされており、システム制御ユニット
34は副走査方向に処理が進むに従って設定しているオ
フセットアドレス信号を所定のタイミングで変更し、副
走査方向の領域切換えを制御する。
【0178】RAM651から出力される領域番号信号
aiはエリア処理レジスタ660に入力されている。こ
のエリア処理レジスタ660は各領域における領域信号
パターンを出力する回路で、図43に示すような領域信
号パターンが、予め制御信号BUSoによって領域番号
毎に複数設定されており、上述した領域番号信号aiに
よってパターンの選択が行われると、設定されている領
域信号パターンを出力する。
【0179】エリア処理レジスタ660から出力される
領域信号パターンは、ディレイ回路661に入力され、
ここで各画像処理回路における画像信号のディレイと同
じ量だけ遅らされる。これにより、画像信号のディレイ
と一致した領域信号S33が出力される。
【0180】ついで、プリンタユニットについて図44
ないし図46を参照して説明する。図44にプリンタユ
ニット2の電装部構成を示す。なお、本実施例のプリン
タユニット2では図45に示すように各色画像用のレー
ザダイオード701BK〜701C から出射されたレーザ
光が同一軸上のポリゴンミラー11等によって走査され
るので、各感光体14BK〜14C 上の走査方向は2通り
となる。このため、図46のタイミングチャートに示す
ように、レーザ光の書込み開始タイミングを検出するた
めセンサ702BK〜702C も、各々異なるタイミング
で信号を出力している。
【0181】図44において、画像処理部10から出力
された画像信号BKp,Mp,Yp,Cp及び画像同期
信号CLKpは書込み制御回路703BK〜703C に入
力されている。なお、これらの書込み制御回路703BK
〜703C は同様な構成をしており、ここでは書込み制
御回路703C についてのみ、その詳細を示す。書込み
制御回路703C に注目すると、画像信号Cp及び画像
同期信号CLKpは3ラインバッファ回路704に入力
される。また、同期信号発生回路705は書込み制御回
路703C 内で使用される画像同期信号をセンサ702
C の出力に応じて発生する回路であり、3ラインバッフ
ァ回路704は画像処理部10から送られてきた画像信
号Cpを画像同期信号CLKpに基づいてラインメモリ
に書込むとともに、同期信号発生回路705から出力さ
れる画像同期信号に従って読出しを行っている。
【0182】上述したように、画像信号の読出し開始タ
イミングは書込み制御回路703BK〜703C によって
異なっており、また、回路によっては読出す方向を反転
させる必要もある。このため、3ラインバッファ回路7
04は3ライン分の画像信号を記憶するメモリを有し、
図46に示したように書込みを行うメモリと読出しを行
うメモリとが重ならないように制御している。
【0183】このような3ラインバッファ回路704か
ら出力された画像信号はパルス幅変調回路706に入力
される。パルス幅変調回路706は入力された画像信号
をその信号値に応じた幅のパルス信号に変換してレーザ
ダイオード(LD)ドライブ回路707に出力する。L
Dドライブ回路707は入力されたパルス信号とパワー
制御回路708から出力される制御信号に基づいてレー
ザダイオード701Cを駆動し、レーザ光を出射させ
る。また、レーザダイオード701C から出力されるモ
ニタ信号はパワー制御回路708に入力されており、パ
ワー制御回路708ではレーザ光量が一定となるように
LDドライブ回路707をフィードバック制御してい
る。
【0184】また、上述した低速モードが選択された場
合、図46に示すように画像処理部10が出力する画像
信号等の送信速度は1/2になるが、ラインメモリから
の読出し速度は一定で2重の読出しが行われる。ただ
し、レーザダイオード701Cの駆動はパワー制御回路
708による制御によって2回に1回行われる。
【0185】なお、書込み制御回路703C 内のライン
同期信号SYNCpはプリンタユニット2を代表するラ
イン同期信号として画像処理部10に出力されている。
【0186】また、プリンタユニット2はユニット全体
を制御して画像記録速度の変更手段を構成するプリンタ
制御回路709を有している。このプリンタ制御回路7
09は、CPU710、ROM711、RAM712を
始めとして、システム制御ユニット34と通信を行うた
めのシリアルI/O回路713やパラレルI/O回路7
14等から構成されるマイクロコンピュータシステムで
ある。ここで、パラレルI/O回路714はレジストセ
ンサ715等の各種センサ信号の入力、書込み制御回路
703BK〜703C に対する設定信号の出力や異常検出
結果の入力、駆動回路716を制御する信号の出力等を
行う回路である。また、駆動回路716は感光体14等
や転写ベルト22等を回転駆動させるメインモータ71
7、ポリゴンモータ15、給紙クラッチ718、定着ヒ
ータ719、高圧電源720等の各種負荷を駆動・制御
する回路である。即ち、プリンタ制御回路709はRO
M711に記憶されたプログラムによって動作し、シス
テム制御ユニット34からの指令や各種センサ信号に応
じて、各回路の設定や各種負荷の駆動制御を行うもので
ある。例えば、システム制御ユニット34により低速モ
ードの選択指令が入力されると、プリンタ制御回路70
9は書込み制御回路703BK〜703C に図46に示し
たような低速モードの設定を行い、メインモータ717
の回転速度や定電流制御を行っている高圧電源720の
出力を1/2に制御するように駆動回路716を設定す
る等の制御を行う。
【0187】また、シスコン・操作表示ユニットについ
て図47を参照して説明する。システム制御ユニット3
4及び操作表示ユニット33の構成を図47に示す。図
47に示すように、システム制御ユニット34はCPU
731、ROM732、RAM733,734,735
及びタイマ736を始め、スキャナユニット11、プリ
ンタユニット2、操作表示ユニット33及び外部機器3
6の各制御回路と通信を行うためのシリアルI/O回路
737やパラレルI/O回路738、割込みコントロー
ラ739等から構成されるマイクロコンピュータシステ
ムになっている。ここで、パラレルI/O回路738は
画像処理部10の設定等を行うための制御信号BUSo
の出力や、画像処理部10から出力される検出結果を取
込むための回路であり、その出力信号の一部はデコーダ
740に入力され、デコーダ740からは画像処理部1
0内のRAM等の選択信号S711〜S71nが出力される。
また、割込みコントローラ739には画像処理部10の
ライン同期信号S102 が入力されており、システム制御
ユニット34はこの信号により副走査方向の処理の経過
を管理している。即ち、システム制御ユニット34はR
OM732に記憶されたプログラムによって動作してお
り、操作表示ユニット33の要求に応じてスキャナユニ
ット1やプリンタユニット2に指示を与えたり、画像処
理部10の初期設定を行う。また、画像処理中にあって
は、副走査方向の処理の進み具合を監視して、加工処理
回路73の読出し開始アドレスやアップ・ダウン制御信
号、遅延処理回路83のフレームメモリ同期信号、領域
制御回路84のオフセットアドレス信号等の設定を随時
変更する等の処理制御を行う。
【0188】また、RAM734,735はバッテリ・
バックアップされており、電源スイッチ切断後も、操作
表示部で行われた調整結果や過去の動作モード等を記憶
している。特に、RAM735は脱着可能なICカード
になっており、動作モード等の登録/呼出しをユーザ毎
にできるようになっている。
【0189】操作表示ユニット33は原稿4上の所定の
範囲、位置等を入力するためのデジタイザ741、表示
部と入力部が一体となったタッチパネル・ディスプレイ
742、テンキー743、クリア/ストップキー74
4、OHPモードキー745、割込みキー746及びコ
ピーキー747等を有する操作表示パネル748と、操
作表示ユニット全体を制御する操作表示制御回路749
等から構成されている。
【0190】ここで、操作表示制御回路749はCPU
750、ROM751、RAM752を始め、システム
制御ユニット34やデジタイザ741と通信を行うため
のシリアルI/O回路753や、操作表示パネル748
における入力を検出するためのキーボード・コントロー
ラ754や、表示制御を行うためのディスプレイ・コン
トローラ755等から構成されるマイクロコンピュータ
システムになっている。操作表示制御回路749はRO
M751に記憶してあるプログラムに従って動作してお
り、ディスプレイ部にメッセージ等を表示して動作モー
ド等の設定を促し、これにより設定された結果をシステ
ム制御ユニット34に送信する等の処理制御を行う。
【0191】動作については、図48ないし図53を参
照して説明する。図48ないし図52にタッチパネル・
ディスプレイ742の各種表示画面例を示す。まず、デ
ジタルカラー複写機の電源が投入されると、操作表示制
御回路749は初期状態になり、図48に示すような標
準画面を表示する。画面右側の「写真」「文字」「標
準」等の表示部分は画質モード選択用の領域であり、操
作者がこの表示部分を押下すると、各々写真画像等に適
した写真モード、文字画像等に適した文字モード、写真
画像/文字画像両用の標準モードが選択される。
【0192】例えば、「文字」表示部分が押下され、操
作表示制御回路749がそれを検出すると、図49に示
すような「文字」表示部分の背景を異ならせた画面を表
示し、表示部押下が認識されたことを操作者に伝え、シ
ステム制御ユニット34には文字モードの設定を要求す
る。また、「標準」や「写真」表示部分が押下された場
合も、同様な表示画面の変更とシステム制御ユニット3
4への要求が行われる。
【0193】システム制御ユニット34はこれを受け
て、画像処理部10の各回路(第1フィルタ処理回路7
4中の各係数選択レジスタ232、色補正回路77中の
各RAM486、第2γ変換回路79中の各RAM(第
1γ変換回路76中の各RAM473に相当)、第2フ
ィルタ処理回路81中の各フリップフロップ551〜5
55等、多値ディザ処理回路82中のパターン選択レジ
スタ585,588、領域制御回路84中のエリア処理
レジスタ660及びRAM651等)の設定を必要に応
じて変更する。
【0194】例えば、文字モードの要求を受けると、第
1フィルタ処理回路74の各処理回路中の係数選択レジ
スタ232の設定を行って、処理回路74R,74G
エッジ強調のフィルタ係数を表2中のE1に、処理回路
74G 中のエッジ強調のフィルタ係数を表2中のE0に
する。一般に、原稿等を走査して得る色分解された画像
信号のMTF特性は等しくならない。このため、本カラ
ーデジタル複写機では文字モードが選択されると、表2
中に示したようなエッジ強調のフィルタ係数E0〜E3
を画像信号毎に適宜選択している。これにより、黒文字
等の黒色細線を読取った時もフィルタ処理後の画像信号
のレベルが揃い、黒BKトナーへの置換えが容易となっ
ている。また、文字モード用のフルカラーの係数を色補
正回路77の各処理回路77BK〜77C のRAM486
に各々設定するとともに、UCR処理回路78ではUC
A処理を行わない処理((5)式)が選択されるようにす
る。
【0195】表3に示したように、文字モード用の係数
を求めるのに使用するデータは、無彩色における黒BK
の値がM,Y,Cの値に比べて大きくなっており、これ
とUCR処理回路78における処理によって、無彩色付
近の色はBKのみで記録されるように処理される。ま
た、文字モード用のデータは、他のモードに比べて有彩
色を高彩度に再現するようになっており、これにより、
色文字等が鮮やかに再現される。
【0196】また、第2フィルタ処理回路81の各処理
回路には、各々文字モード用に選択されているフィルタ
係数を設定し、多値ディザ処理回路82の各処理回路の
パターン選択レジスタ585,588には文字モード用
ディザパターンのためのデータを設定する。
【0197】また、第2γ変換回路79の各処理回路の
RAM(473に相当)には、文字モード用ディザパタ
ーンに対応した各色毎のLUTデータを各々設定する等
の処理を行う。
【0198】さらに、システム制御ユニット34は第1
フィルタ処理回路74による処理をエッジ強調にする
等、上述した設定を選択するように、領域制御回路84
のエリア処理レジスタ660やRAM651の内容を変
更し、コピー動作中にあっては、オフセットアドレス信
号Pjを領域制御回路84に出力して上述したような処
理が実行されるように制御する。
【0199】また、写真モードや標準モードの要求を受
けた場合も同様であり、モードに応じた設定や制御をシ
ステム制御ユニット34が行う。
【0200】例えば、写真モード又は標準モードが要求
されると、表2に示したS0のフィルタ係数による平滑
化処理が行われるように、第1フィルタ処理回路74の
係数選択レジスタ232を設定する。この平滑化処理に
より、網点画像等を読取った場合に生ずるモレアが除去
され、良好なコピーが得られる。なお、平滑化処理を行
う場合は、上述したMTF特性の差による影響が少なく
なるため、本実施例では同一のフィルタ係数が使用され
る。
【0201】また、色補正回路77の各処理回路のRA
M486には、選択されたモードに応じて表3に示した
データから求めた係数を設定するとともに、UCR処理
回路78ではUCA処理を行う処理((6)式)が選択さ
れるようにする。表3に示したように、標準モード用の
データは無彩色におけるBKの値がM,Y,Cの値と等
しくなっており、これとUCR処理回路78における処
理とにより、標準モードでは無彩色が黒BKのみで記録
されるように処理される。これに対して、写真モード用
のデータはBKの記録量を少なくしており、これによ
り、滑らかな階調再現が容易に実現される。
【0202】また、第2γ変換回路79の各処理回路、
第2フィルタ処理回路81の各処理回路及び多値ディザ
処理回路82の各処理回路も、各々のモードに応じた設
定を行う。なお、本実施例では表5に示したように標準
モード用のディザパターンを2種類有しているが、通常
は標準1のディザパターンが選択される。
【0203】上述したように、本カラーデジタル複写機
では、選択された画質モードに応じて画像処理部10に
設定されるデータを変更して最適な画質が選択できるよ
うにされている。
【0204】また、本実施例にあっては、上述した各モ
ードにおける第2フィルタ処理回路81に設定するフィ
ルタ係数や、第2γ変換回路79に設定するLUTデー
タを、操作者が選択できるようになっており、この選択
は操作表示パネル748の画質調整キー756を押下す
ることにより可能である。即ち、操作表示制御回路74
9は画質調整キー756の操作を検出すると、図50に
示すような画面を表示して、フィルタ係数を調整するた
めのシャープ/ソフト調整モードと、LUTデータを調
整するためのカラーバランス調整モードの選択が可能と
される。
【0205】シャープ/ソフト調整モードが選択される
と、操作表示制御回路749は図51に示すような画面
を表示して、各画質モードにおける第2フィルタ処理回
路81のフィルタ係数の選択が可能とされる。図51に
示すように本実施例では各画質モード毎に11段階のフ
ィルタ係数の選択が可能となっており、操作表示制御回
路749は選択された結果をシステム制御ユニット34
に伝えシステム制御ユニット34はこの結果をRAM7
34に記憶しておく。
【0206】なお、表4に示したフィルタ係数とこの調
整結果の対応は、図30に示すようになっている。即
ち、文字モードでは平滑化を中心にフィルタ係数が選択
され、標準モードではエッジ強調を中心にフィルタ係数
が選択される。また、写真モードではスルーを中心とす
るフィルタ係数が選択される。これにより、文字モード
では第1フィルタ処理回路74で行うエッジ強調により
発生したモアレを弱めることができ、標準モードでは第
1フィルタ処理回路74で行う平滑化により発生したボ
ケを補正することができる。さらに、文字モード及び標
準モードでは、BKのみ1段分エッジ強調側のフィルタ
係数が用いられ、これにより、黒文字等の細線が鮮鋭に
コピーされる。
【0207】また、カラーバランス調整モードが選択さ
れると、操作表示制御回路749は図52に示すような
画面を表示して、各画質モードにおける第2γ変換回路
79のLUTデータの選択が可能とされる。同図(e)に
示すようにLUTデータの調整は、画質モードと色毎
に、シャドウ、ミドル、ハイライト別の17段階(−8
〜0〜8)の調整が可能とされており、操作表示制御回
路749はこの調整結果をシステム制御ユニット34に
伝える。システム制御ユニット34はこの調整結果をR
AM734に記憶しておき、必要に応じて第2γ変換回
路79のRAMに設定するLUTデータを計算する。即
ち、システム制御ユニット34のROM730には図5
3(a)〜(c)に示すようなシャドウ部調整用、ミドル部
調整用、ハイライト部調整用の2,4,6,8のLUT
データが予め記憶されており、調整結果に応じてLUT
データを読出して符号反転・補間演算等を行い、さら
に、図53(d)に示すような濃度調整用のLUTデータ
と足し合わせ、RAMに設定するLUTデータを計算す
る。
【0208】再度、図48〜図52を参照すると、画面
右下部は画像濃度調整用の領域であり、「濃く」「薄
く」表示部分を押下すると、各々記録される画像の濃度
をより濃く、又は、より薄くすることができるようにさ
れている。即ち、操作表示制御回路749は上述した操
作を検出すると、スケール部分の表示を変更するととも
に、この結果をシステム制御ユニット4に伝える。シス
テム制御ユニット34はこれに呼応して図53(d)に示
した濃度調整用のLUTデータの選択を変更し、LUT
データを再計算し、第2γ変換回路79のRAMに設定
する。
【0209】上述したように、本実施例では、各モード
における第2フィルタ処理回路81に設定するフィルタ
係数や第2γ変換回路79に設定するLUTデータを、
選択できるので、最適な条件でのコピーが可能となる。
【0210】
【発明の効果】本発明は、上述したように構成したの
で、請求項1記載の発明によれば、画像信号を階調性変
換手段においてほぼ反射率のn乗根に比例した階調性を
有する信号に変換するようにしたので、高濃度領域であ
っても画像信号の飛びを小さくし、疑似輪郭や画像のザ
ラツキ感の発生を防止でき、また、色補正処理手段にお
いては、入力される画像信号がほぼ反射率のn乗根に比
例した階調性を有するものであり、このような画像信号
に1次の積和演算処理を施して色補正を行なうようにし
たので、色再現性を考慮して決定される積和演算の係数
が大きくならずに済み、係数保持のためのレジスタや乗
算器のビット数を多くする必要のないものとすることが
できる。
【0211】特に、請求項2記載の発明によれば、デジ
タル画像信号Yi によって形成される色空間を複数の領
域に分割し、各領域毎に積和演算の係数を切換えること
ができるため、各領域毎に係数を最適化でき、色補正処
理手段における処理精度を向上させることができる。
【図面の簡単な説明】
【図1】本発明の一実施例を示す画像処理部の電装系構
成を示すブロック図である。
【図2】カラーデジタル複写機全体の構成を示す概略正
面図である。
【図3】全体の電装制御系を示すブロック図である。
【図4】スキャナユニットの電装系構成を示すブロック
図である。
【図5】カラーCCDのフィルタ配置図である。
【図6】同期信号発生回路のブロック図である。
【図7】その動作を示すタイミングチャートである。
【図8】その動作を示すタイミングチャートである。
【図9】主走査変倍回路のブロック図である。
【図10】その動作を示すタイミングチャートである。
【図11】補間演算処理を示す説明図である。
【図12】変倍処理回路のブロック図である。
【図13】加工処理回路のブロック図である。
【図14】メモリ制御回路のブロック図である。
【図15】影領域判定回路のブロック図である。
【図16】第1フィルタ処理回路のブロック図である。
【図17】外部I/F回路のブロック図である。
【図18】外部I/F回路の動作を示すタイミングチャ
ートである。
【図19】外部I/F回路の動作を示すタイミングチャ
ートである。
【図20】第1γ変換回路のブロック図である。
【図21】その動作を示すタイミングチャートである。
【図22】色補正回路のブロック図である。
【図23】色空間を示す模式図である。
【図24】UCR処理回路のブロック図である。
【図25】原稿サイズ検出回路のブロック図である。
【図26】原稿状態の一例を示す平面図である。
【図27】動作を示すタイミングチャートである。
【図28】第2フィルタ処理回路のブロック図である。
【図29】フィルタ係数に応じたフィルタ処理例を示す
模式図である。
【図30】多値ディザ処理回路のブロック図である。
【図31】ディザパターン例を示す説明図である。
【図32】感光体配置を示す簡略正面図である。
【図33】遅延処理回路のブロック図である。
【図34】遅延処理用のメモリ容量を示す模式図であ
る。
【図35】メモリ制御回路のブロック図である。
【図36】その動作を示すタイミングチャートである。
【図37】その動作を示すタイミングチャートである。
【図38】RAMブロックのブロック図である。
【図39】その動作を示すタイミングチャートである。
【図40】領域制御回路のブロック図である。
【図41】領域制御の単位を示す説明図である。
【図42】メモリの格納内容を示すRAMマップであ
る。
【図43】エリア処理レジスタの格納内容を示す説明図
である。
【図44】プリンタユニットのブロック図である。
【図45】走査光学系の平面的配置を示す概略平面図で
ある。
【図46】動作を示すタイミングチャートである。
【図47】システム制御ユニット及び操作表示ユニット
のブロック図である。
【図48】画面表示例を示す平面図である。
【図49】画面表示例を示す平面図である。
【図50】画面表示例を示す平面図である。
【図51】画面表示例を示す平面図である。
【図52】画面表示例を示す平面図である。
【図53】各種特性図である。
【符号の説明】
76 階調性変換手段 77 色補正処理手段 486R,486G,486B 係数切換え手段 487R,487G,487B ,488,489 制御
手段

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 画像ハイライト部の基準信号値をXHi
    画像シャドウ部の基準信号値をXSi(ただし、i=1,
    2,3)としたとき、ほぼ反射率に比例した階調性を有
    するR,G,B信号等のデジタル色分解信号Xi を、 【数1】 式に従いほぼ反射率のn乗根(ただし、n=1.5〜
    5)に比例した階調性を有するデジタル画像信号Yi
    変換して出力する階調性変換手段と、この階調性変換手
    段より出力されるデジタル画像信号Yi に、 Z=aY1+bY2+cY3+d (ただし、係数a,b,c,dは定数)式で示す1次の
    積和演算処理を施して色分解版を記録するためのデジタ
    ル記録信号Zを生成する色補正処理手段とを設けたこと
    を特徴とする画像処理装置。
  2. 【請求項2】 色補正処理手段が、積和演算処理の係数
    a,b,cを切換える係数切換え手段と、 eY1+fY2−(e+f)Y3 (ただし、係数e,fは同時に0とはならない整数)式
    による複数の画像信号Yi の計算結果の正負に応じて前
    記係数切換え手段を制御する制御手段とを有するものと
    したことを特徴とする請求項1記載の画像処理装置。
JP3230649A 1991-09-11 1991-09-11 画像処理装置 Pending JPH0575846A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3230649A JPH0575846A (ja) 1991-09-11 1991-09-11 画像処理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3230649A JPH0575846A (ja) 1991-09-11 1991-09-11 画像処理装置

Publications (1)

Publication Number Publication Date
JPH0575846A true JPH0575846A (ja) 1993-03-26

Family

ID=16911105

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3230649A Pending JPH0575846A (ja) 1991-09-11 1991-09-11 画像処理装置

Country Status (1)

Country Link
JP (1) JPH0575846A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006251862A (ja) * 2005-03-08 2006-09-21 Seiko Epson Corp 画像処理装置、画像処理方法、表示コントローラ及び電子機器

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006251862A (ja) * 2005-03-08 2006-09-21 Seiko Epson Corp 画像処理装置、画像処理方法、表示コントローラ及び電子機器
JP4670403B2 (ja) * 2005-03-08 2011-04-13 セイコーエプソン株式会社 画像処理装置、画像処理方法、表示コントローラ及び電子機器

Similar Documents

Publication Publication Date Title
JPH05153383A (ja) 色変換機能付き色修正装置
JP3662379B2 (ja) 画像処理装置
US5124799A (en) Digital color copying machine for forming a plurality of images of the same image
JP2006003816A (ja) 画像形成装置及びこれに用いられる濃度補正データ生成方法
JPH08300765A (ja) 画像処理装置およびその方法
JPH1141473A (ja) 画像処理装置と画像記録装置と画像形成装置
JPH09233335A (ja) 画像データ処理装置および画像データ処理方法
JPH0575846A (ja) 画像処理装置
JPH11196258A (ja) 画像処理装置およびその方法
JP3213347B2 (ja) デジタル複写機及び輪郭モード処理方法
JPH08275007A (ja) 色修正装置
JP3098530B2 (ja) デジタル複写機
JP3169219B2 (ja) カラー画像形成装置
JP3003133B2 (ja) イメージ外形線抽出装置
JP3880238B2 (ja) 画像処理方法及び装置
JP3297452B2 (ja) 画像処理装置及び画像処理方法
JPH11266366A (ja) 画像複写装置
JP2906459B2 (ja) イメージ影線抽出装置及びその装置を用いた画像処理装置
JPS6378672A (ja) デ−タ圧縮方式
JPH1026849A (ja) 画像形成装置及びその方法
JP3010639B2 (ja) 画像処理装置
JP2000341511A (ja) 画像処理装置
JP2004120021A (ja) 画像処理装置、画像読取装置及び画像形成装置
JPH01151370A (ja) 画像処理装置
JP2004126135A (ja) 画像処理装置及びその制御方法