JPH04307723A - 電子ビーム・リソグラフィの近接効果補正方法 - Google Patents

電子ビーム・リソグラフィの近接効果補正方法

Info

Publication number
JPH04307723A
JPH04307723A JP4018377A JP1837792A JPH04307723A JP H04307723 A JPH04307723 A JP H04307723A JP 4018377 A JP4018377 A JP 4018377A JP 1837792 A JP1837792 A JP 1837792A JP H04307723 A JPH04307723 A JP H04307723A
Authority
JP
Japan
Prior art keywords
electron beam
design
irradiation dose
proximity effect
dose
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4018377A
Other languages
English (en)
Inventor
Abraham Z Meiri
アブラハム・ズヴィ・メイリ
Dov Ramm
ドヴ・ラム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH04307723A publication Critical patent/JPH04307723A/ja
Pending legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Electron Beam Exposure (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、電子ビーム・リソグラ
フィのための近接効果補正方法に関する。
【0002】
【従来の技術】電子ビーム・リソグラフィ技術において
、電子ビームは、電子ビーム感応レジストで被覆された
基板に選択的に照射され、半導体デバイスのパターンを
描画するのに用いられる。電子ビームは、レジストに必
要な形状を定めるように、正確に偏向され、かつ成形さ
れる。パターンはレジスト内で現像される。基板は、直
接描画リソグラフィの場合は半導体ウェハ、半導体デバ
イスの製造において続いて使用される高分解能マスクの
場合はガラス,金属,またはその他の物質のいずれかで
ある。
【0003】電子ビームが、電子ビーム感応レジストま
たは基板のような物質を貫通するとき、ビーム中の電子
は物質内の分子と衝突し、レジストおよび基板からあら
ゆる方向へ散乱する。電子は、主にレジストからは前方
に散乱し、主に基板からは横方向または後方へ散乱する
。この散乱は、直接露光されるレジスト領域に近接する
領域をさらに散乱電子で露光させる。これは本技術の分
解能を減少させる影響を有する。基板からの後方散乱で
ある電子は、レジストからの前方散乱である電子よりも
、レジストのより広い領域に影響を及ぼす。後方散乱電
子による電子ビームの拡がりは、通常、パラメータβ、
すなわち約2μm〜10μmの後方散乱範囲によって特
徴付けられる。前方散乱と、ビームのデフォーカスと、
光学収差との組合せの影響による電子ビームの広がりは
、通常、約0.1μmのパラメータαによって特徴付け
られる。
【0004】直接に露光を受ける2つの領域が密接して
位置するとき、それぞれの領域は、その領域へ照射中の
電子ビーム露光(以後“入射照射線量”と呼ぶ)のみな
らず、電子の横方向散乱による余剰の露光も受け、隣接
領域まで直接に露光してしまう。結果的に、近接した領
域は、孤立した領域よりも過度に、同じ入射照射線量で
露光される。近接した2つの形状間の間隙にあるレジス
トは、両形状の散乱電子から露光を受ける。これによっ
て、形状間の間隙が狭くなる。
【0005】この現象は“近接効果”と呼ばれる。電子
ビーム・リソグラフィによるVLSIマスクおよびチッ
プ、またはそのいずれかの製造において、現像形状に歪
を生じ、特に最小間隙または形状の寸法が1μm未満の
ときは、大きな問題となる。
【0006】近接効果を排除または減少させるために、
多くの技術が用いられてきた。例えば、電子ビームのエ
ネルギー,電子ビームの供給領域,基板物質,およびレ
ジストを変化させたり、多層レジストを用いたりするこ
とが含まれる。
【0007】近接効果補正の第1の方法は、設計形状を
変更することなく、必要なチップまたはマスクのレイア
ウトを考慮して、レジストの各点に与えられる電子ビー
ム入射“照射線量”を変更することである。各点で必要
な電子ビーム照射線量変更の計算は面倒で、均一形状の
照射線量の非負性や入射照射線量の解の安定性のような
さらにいくつかの技術的要件を処理しないことには、正
確に行えない。
【0008】この方法で近接効果を排除する1つの手法
は、GHOSTと呼ばれ、J.  Appl.  Ph
ys.  54(6)  pp.3673−3681(
1983)and  EP−A−97  417  o
f  Owen  and  Rissmanに開示さ
れている。この手法は、“オフ形状露光の均一化”とい
う手法を用いる。この手法では、均一形状の照射線量の
他に、不均一形状点も、後方散乱電子による不均一な露
光を補うために照射線量で直接に露光される。その結果
、レジストは、全表面に亘る全均一形状点に一定のオフ
セットのバックグラウンド露光を受け、パターンは歪ま
ない。しかしこの方法は、不均一形状露光のために、よ
り低いコントラストおよびより低いスループットという
欠点がある。
【0009】近接効果補正の他の手法は、“露光等化”
方法と呼ばれる。“露光等化”方法では、レジスト表面
における入射照射線量値は、全均一形状点で等しい露光
値を達成するように変更される。
【0010】“露光等化”型の特定の方法は、いわゆる
つじつまのあう技術で、J.  Vac.  Sci.
  Tech.  15,  p.931,(1978
)およびIBMJ  Res.  Develop. 
 24  pp.438−451(1980)に開示さ
れている。設計された形状の組はまず、十分小さい細分
形状の組に分割され、補正係数は各細分形状において一
定であると仮定することができる。つじつまのあう技術
の要件は、全形状に対して平均露光量が等しいことであ
る。これによって、補正係数のための連立1次方程式が
完全に決定される。この方法は優れた結果をもたらす。 しかし、連立1次方程式は通常、特に細分形状が多数の
ときは、良い結果が得られない。これによって得られる
非常に不安定な解は、入力パラメータの精度に依存し、
大きな誤差を生じがちである。この技術の他の欠点は、
非常に大きな計算負荷であり、特にサブミクロン技術お
よび高加速電圧、またはそのいずれか一方に対して負荷
が大きい。
【0011】“露光等化”型のまた別の方法は、Pav
kovichによるEP−A−166  549  P
avkovich  and  in  J.  Va
c.  Sci.Tech.  B  4(1)  p
p.159−163(1986)に開示されている。こ
の方法は基本的な積分方程式の近似解を使用し、等しい
均一形状露光が必要であるとしている。この方法は、解
として粗い格子を用い、つじつまのあう技術の高計算負
荷の問題を克服している。
【0012】しかし露光等化方法は一般に、処理時の誤
差に敏感で、満足な結果を与える開始パラメータに到達
するために多くの試行錯誤を必要とする。基本パラメー
タ、例えば現像時間等は、一般的にチップおよびマスク
、またはそのいずれか一方の像密度に依存し、各設計は
通常、個別の処理および現像補正を必要とする。
【0013】露光等化型の方法に関する別の問題は、前
方散乱による電子ビームの拡がりについての有限な数値
(パラメータαで表わされる)を考慮する試みが、誤り
を含んだ問題を提起するということである。この結果、
高振動性の入射照射線量が生じ、入射照射線量に対する
非現実的な負の解さえもたらす。
【0014】他のグループの方法、例えば、Hasla
mとMcDonaldによるJ.Vac.  Sci.
  Technol.  B  4(1)pp.168
−175(1986)、およびGerberによるJ.
  Vac.  Sci.  Technol.  B
  6(1)pp.432−435において発表された
方法は、露光量が均一形状で一定、不均一形状で零とな
るように入射照射線量を選ぶ試みを行っている。露光量
は後方散乱のために、不均一形状で零となることはでき
ないので、これらの技術は、対応する積分方程式を解く
ことにより、非物理的な負の入射不均一形状照射線量を
得る。値が零の照射線量を切り捨てると、制御不可能な
像歪をさらにもたらす。
【0015】つじつまのあう技術の重要な改良は、まず
Berkowitz,Cook,Kwiatkowsk
i  and  Goodreauによる“Edge−
Controlled,  Self−Consist
ent  Proximity  Effect  C
orrections,”  pp.125−142 
 in  Semiconductor  Proce
ssing,  ASTM  STP850,edit
ed  by  D.C.Gupta,  Ameri
can  Society  for  Testin
g  and  Materials(publish
er),1984に開示されている。この方法では、つ
じつまのあう技術は、細分形状の各外部端における平均
露光は、その端がちょうど所望の位置に現像されるよう
な、“閾値”と呼ばれる臨界露光値に等しくなるように
拡張される。
【0016】これを更に発展させた方法が、Ottoと
GriffithによるJ.  Vac.  Sci.
  Technol.  B  6(1),pp.44
3−447(1988)に発表された。この論文では、
検査点が各細分形状に対して定められる。検査点は、端
部細分形状に対して端上で選ばれ、これらの検査点での
露光は、閾値となるように制限される。内部細分形状に
対して、検査点は中央で選ばれ、その露光は閾値以上の
値で特定される。解かなければならない連立1次方程式
は、つじつまのあう方法によく似ている。この方法は、
より良いパターン精度を与えるが、つじつまのあう技術
の他の欠点すべてを継承し、特に、長大なCPU時間を
必要とする。
【0017】近接効果補正の第2の主たる方法は、最終
現像パターンの正しい寸法を与えるために、入射照射線
量の与えられる領域を変更する方法である。
【0018】この一例としてEP−A−110  04
2  of  F.  Jonesにおいて開示された
手法がある。この手法においては、一定の照射線量が、
端部および内部細分形状を含む各細分形状の縮小された
領域内に与えられる。この縮小は、“バイアス”と呼ば
れる一定の距離だけ細分形状の全側面を削減することに
より実行される。この方法は、照射線量値変更による補
正に対して適応性を欠くので、この方法で高品質なリソ
グラフィック・パターンを得ることは一般に不可能であ
る。
【0019】明白なのは、前述の近接効果補正の2つの
主たる方法の組合せはサブミクロン域でのパターンの再
現性の改良およびリソグラフィ寸法の更なる減少、また
はそのいずれか一方を与える。所望の形状に“バイアス
”を与えること、すなわち、形状の拡大につながるVL
SI製造工程における種々の係数を考慮するために元の
設計より小さい形状を露光することは普通に行われる。 例えば、リソグラフィ工程における一定の光学的現象に
よって、最終パターンはマスクと比較して拡大すること
ができる。このため、電子ビーム・リソグラフィによっ
て製造されるマスクは、僅かに縮小していなければなら
ない。電子ビーム・リソグラフィ技術を用いた製造工程
によって支配される全バイアスに加えて、近接効果によ
る形状拡大、および現像工程での横方向でのレジスト溶
解を考慮するために、通例、所望の形状に余分の“バイ
アス”を与える。
【0020】Chen,  Neureuther,お
よびPavkovichによる論文J.  Vac. 
 Sci.  Technol.  B  3(1)p
p.148−152(1985)において、異なる幅の
長い絶縁線を製造するために現像バイアスを均一化する
試みが開示されている。しかし、この手法は特定の場合
に対する大雑把な近似にすぎず、一般的な方法に発展し
てはいない。 なぜなら、このバイアスは補正パラメータを用いて表現
されていないからである。
【0021】従来の近接効果補正方法は、サブミクロン
域の設計規則でもってVLSIパターンを製造する電子
ビーム・リソグラフィにとっては不十分であることがわ
かる。
【0022】
【発明が解決しようとする課題】本発明の目的は、製造
環境での使用に適した、系統的な近接効果補正方法を提
供することにある。
【0023】
【課題を解決するための手段】本発明によって、電子ビ
ーム感応レジストにおいて少なくとも1つの設計形状を
含む設計を露光するための電子ビーム・リソグラフィ・
システムにおける近接効果補正方法が提供される。この
方法は、現像の際、形状制御要件が満足されるような与
えられた任意の設計点で必要な電子ビーム照射線量を決
定する。電子ビーム照射線量の決定は、設計の任意の点
の近接効果の程度を示すインディケータと、必要な電子
ビーム照射線量との予め定められた関係によって行われ
、さらにこの決定は、設計形状上にない点で照射線量が
零になるように制限され、インディケータを電子ビーム
照射線量の配分に結びつける積分方程式の解を、設計上
の少なくとも大半の点で計算するステップを含んでいる
【0024】直線状の端部で拡がる形状の量は一般に、
入射照射線量と、形状端の周辺の後方散乱に依存し、形
状の寸法には依存しない。これは、形状がそれほど小さ
くなく前方散乱の影響が大きい限り事実であるから、考
慮する必要がある。
【0025】もし全設計形状に対して等しい形状拡大を
与える照射線量値が決定できるなら、形状拡大を、露光
に先立って設計形状を縮小させるためのバイアスまたは
エッチング・パラメータとして用いて、最終端位置の正
しさが確信できる。この要件はここでは“エッチング等
化”原理と呼ばれる。
【0026】故に、本発明の好適な形態において、この
方法は、縮小した設計形状を形成するために予め定めら
れたバイアスによって各設計形状を縮小させる前ステッ
プを含み、形状制御要件は、各縮小設計形状が現像の際
に予め定められたバイアス値によって拡大されることで
ある。
【0027】形状縮小すなわち“前エッチング”は、後
工程の一部として行われる。通常ポストプロセッサは、
全形状に適用される単一のエッチング値を用いる。
【0028】本発明の方法は、近接効果補正の実施を可
能にし、結果として正確なチップまたはマスク・イメー
ジを生成し、同時に、リソグラフィ処理のパラメータに
おける比較的“緩やかな”許容誤差、および電子ビーム
描画装置スループットにおける利得を許す。動作パラメ
ータに対するこれらの緩やかな許容誤差、および計算効
率の増大は、この方法を製造環境での使用に特に適した
ものとする。
【0029】好適には、電子ビーム照射線量の決定は、
多数の点のうちのあるものにおけるインディケータ値を
結合するステップを含む。これによって、必要な照射線
量値を、そこにおいて積分方程式が解かれる中間設計上
の位置のインディケータを補間することにより、得るこ
とができる。
【0030】インディケータは、近接効果の程度または
大きさを表わす任意の関数とすることができる。好適に
は、インディケータは電子の後方散乱であるが、しかし
、インディケータは、設計上に与えられた任意の点で、
その点の形状端が現像の際に正しい位置に収まるような
照射線量値を与える照射線量増大関数のような別の後方
散乱関数とすることもできる。この場合、インディケー
タと必要な照射線量の予め定められた関係は、必要な電
子ビーム照射線量が、縮小した設計形状上に存在する点
のインディケータと等しくなるということである。
【0031】インディケータが電子の後方散乱である場
合、予め定められた関係は、電子ビーム・リソグラフィ
・システムと、予め定められたバイアス値と、次のよう
な関係、すなわち設計形状端のある点での後方散乱と、
設計形状端が現像の際バイアス値によって動くような点
で必要な電子ビーム照射線量との間の関係、とによって
決定される。
【0032】形状拡大の入射照射線量への依存およびバ
ックグラウンド後方散乱は、特定のリソグラフィ・シス
テムの実験による較正によるか、または現像工程のコン
ピュータ・モデル化により決定することができる。
【0033】全形状端において等しい形状拡大を得るの
に必要な入射照射線量Dは、バックグラウンド後方散乱
Sに依存する。これにより形状拡大が形状拡大の所定の
エッチング値に対する入射照射線量に依存することから
、動作曲線D=f(S)が推定される。このことから、
この動作曲線D=f(S)はD−S図と呼ばれる。
【0034】D−S図は、全均一形状点に対する動作曲
線として適用することができる。バックグラウンド後方
散乱Sは逆に、入射照射線量配分によって定められる。 すなわち、バックグラウンド後方散乱は、後方散乱核B
(x,y)の照射線量配分D(x,y)に関する繰込み
に等しく、最終照射線量配分は、最終照射線量割当てに
先立って解かねばならない積分方程式を満足する。
【0035】多層レジストにおけるイメージ層のような
、一定の薄くてコントラストが強いか、またはそのどち
らかであるレジストは少なくとも、技術基本原則に対す
る3αより大きな“閾値モデル”として好適に説明され
る。閾値モデルによると、露光量が閾値より大きい全レ
ジスト点は、完全に現像液によって溶解するが、他の全
レジスト点における残りの層厚は現像後も残る。この場
合、入射照射線量値は、全形状端において等しい露光値
を与えるように割当てることができる。その単一端露光
値は、閾値に等しい。この要件が満足されると、露光は
任意の形状端において鋭い曲線となり、端点は勾配が最
大の点である。通常、前方散乱は狭対称核によって特徴
付けられるので、露光曲線は閾値レベルに関して対称で
もある。この要件は、このため、“露光対称化”原理と
呼ばれる。本発明のこの形態において、後方散乱と必要
な照射線量との間の予め定められた関係は、式D=2t
−2Sによって表わされ、Dは必要な照射線量、Sは後
方散乱、tは閾値である。この場合、もしレジスト上の
点に与えられる照射線量が閾値照射線量より大きければ
、そのレジストは現像の際その点で完全に溶解する。
【0036】形状端からの距離が2αより大きい各形状
の内点に対して、必要な入射照射線量は、最終端位置に
決定的に影響することなく、D−S図によって与えられ
る照射線量より小さくすることができる。バックグラウ
ンド後方散乱を減少させるためには、これらの点におけ
るできるだけ小さい入射照射線量値を用いるのが有利で
ある。
【0037】これによって、本発明は、等しい拡大要件
が外短冊のみに適用され、内点に対してさらなる要件が
保有されるという、選択を与える。これは、内点におけ
る照射線量が、レジストの全厚がオーバー照射線量とい
うある安全な要因によって溶解することを保証するよう
に選ばれるということである。このため、2つの異なる
D−S図が外短冊および内点に対して用いられる。
【0038】このため、本発明の好適な形態において、
本方法はさらに、必要な照射線量の決定に先立って設計
の形状を端部領域と内部領域とに分割するステップを含
み、各内部領域は完全に端部領域によって囲まれる。こ
のとき内部領域の各点における電子ビーム照射線量は、
現像の際その点の全レジストが溶解するという付加的制
限と共に決定される。
【0039】細分形状に対する入射照射線量、すなわち
大きさが5α以下の入射照射線量は、前方散乱およびビ
ーム発散の組合せ効果を補正するために、さらに増大す
ることができる。補正係数すなわちα増大係数は、主と
して形状の大きさに依存し、後方散乱Sにもわずかに依
存する。α増大係数の依存は、較正実験において、また
はリソグラフィ工程のコンピュータ・モデル化によって
示される。本発明の更に好適な形態において、必要な照
射線量を決定するステップは、照射線量に補正係数を掛
けるステップを含み、この補正係数は、レジストからの
電子の前方散乱による形状歪をほぼ補正する。
【0040】本発明は、形状に亘ってゆっくりと変化す
るのみの後方散乱のようなインディケータ関数のための
積分方程式を解くステップを含む。この積分方程式の解
は非常に粗い格子において求められ、その粗さは設計形
状自体より粗く、25keVの装置に対し約1μmとい
うのが典型であった。
【0041】このため、本発明の好適な形態において、
積分方程式が解かれる複数の点は、直交格子上に配置さ
れ、格子点の間隔は最小設計形状の1次元の最小寸法よ
り大きい。比較的粗い格子を使用すると、この方法の速
度および計算効率が増大する。
【0042】本発明はさらに、前述の近接効果補正方法
を含む電子ビーム・リソグラフィ工程と、電子ビーム・
リソグラフィ工程を含む集積回路の製造方法とを提供す
る。
【0043】本発明の他の面によると、電子ビーム・リ
ソグラフィ・システムのための近接効果補正装置が提供
される。少なくとも1つの設計図形を含む設計パターン
が電子ビーム感応レジストにおいて露光され、この装置
は、予め定められたバイアスによって縮小した設計形状
を形成するための各設計形状縮小手段と、各縮小設計形
状が現像の際予め定められたバイアス値によって拡大さ
れる与えられた任意の設計点において必要な電子ビーム
照射線量を決定するための論理回路とを含み、必要な電
子ビーム照射線量の決定は、インディケータと必要な電
子ビーム照射線量との間の予め定められた関係によって
行われ、このインディケータは任意の設計点における近
接効果の程度を示し、必要な電子ビーム照射線量は設計
形状上にない点では零に制限され、決定するための論理
回路は、複数の設計点において、電子ビーム照射線量配
分インディケータに関する積分方程式の解を計算する論
理回路を含んでいる。
【0044】
【実施例】本発明の実施例は、2つの部分、すなわち照
射線量の計算とそれに先立つ較正段とからなる方法であ
る。
【0045】照射線量の計算は、ポスト・プロセッサに
よって行われ、ソフトウェアまたはハードウェアにより
、またはソフトウェアとハードウェアの組合せにより、
実行することができる。ポスト・プロセッサは設計の仕
様を、電子ビーム描画装置のための数値制御(NC)デ
ータに変換する。図1はこのようなポスト・プロセッサ
の略図である。近接効果補正モジュール11は近接効果
補正計算を実行する。すなわち、電子後方散乱に対して
、電子の後方散乱を粗い格子点に与えられた照射線量配
分に関係付ける積分方程式を解く。モジュール11は、
入力12において入力設計データを受取り、そのデータ
は前分割器13で前分割される。近接効果補正モジュー
ル11は、特定の電子ビーム・リソグラフィ・システム
を特徴付ける較正データ16を使用する。“電子ビーム
・リソグラフィ・システム”という用語は、電子ビーム
・レジスト,現像液,現像工程,および電子ビーム描画
装置の特定の組合せを表わすのに用いられる。
【0046】近接効果補正モジュールによって作成され
たデータは、設計形状を、一定の照射線量がレジストに
与えられる初期細分形状に分割するために、選択的に適
応分割器18において処理される。照射線量割当てモジ
ュール19は近接効果補正モジュール11からのデータ
を、好適に分割された設計データと共に使用し、各初期
細分形状を露光するのに必要な入射照射線量の最後的な
計算を実施する。分割データは割当て照射線量と共に、
電子ビーム描画装置20を制御するのに用いられる。
【0047】較正処理は、特定の電子ビーム・リソグラ
フィ・システムの関連した特性を記述するデータ16を
決定する。このデータは、電子ビーム描画装置によって
レジストに描画され現像される特定パターンの組と、現
像パターンを分析して測定データを処理する測定手続き
、および、システムに必要なパラメータを得るためのレ
ジストの振舞いのシミュレーション、またはそのどちら
か一方を含む。較正処理は、ポスト・プロセッサの近接
効果補正モジュールが必要とするデータを与える。
【0048】形状端付近の各設計点において特定量の形
状拡大を与えるのに必要な照射線量は、その点における
電子後方散乱の関数である。これは、近接効果の大きさ
は後方散乱の量に依存するからである。形状内にあり端
付近にはない点における照射線量は、形状拡大に重大な
影響を持たないので、現像の際、レジストが完全に除去
されるのに十分な、最小の有効値を持たなければならな
い。レジスト除去の信頼性を高めるために、所定量のオ
ーバー照射線量を内部領域で使用することもできる。
【0049】図2は基板上に形成すべき電子回路の形状
を示す。所望の形状22は、均一形状でM(x,y)=
1,不均一形状でM(x,y)=0となる特性関数M(
x,y)によって記述される。電子ビームで露光される
べき縮小形状24は、形状24の輪郭線内でM′(x,
y)=1,他の所でM′(x,y)=0となるような特
性関数M′(x,y)によって記述される。
【0050】縮小は、図1の前分割13に先立つ段にお
いてポスト・プロセッサが実施する。この段は従来技術
を用いるので、図1には示していない。
【0051】縮小設計形状は、端近辺の領域26(“端
部領域”)と内部の領域28(“内部領域”)とに分割
される。この前段階の分割は、図1の前分割器13によ
って実施される。内部領域と端部領域に対する照射線量
は、異なるように決定される。このエッチング・パラメ
ータは距離30によって代表される。格子点32は正方
形の直交格子の中に配置される。格子と設計形状の相対
位置は特に問題にしない。
【0052】本発明の第1の実施例において、特定の度
合いの形状拡大を特定の点における一定量の後方散乱に
与えるのに必要な照射線量値は、いわゆるD−S関数表
またはD−S図から参照される。これらの表は、各点で
必要な照射線量値を、その点における後方散乱Sの関数
として、端部領域の点の場合は正しい端拡大を、内部領
域の点の場合は正しいオーバー照射線量を保証するよう
に関係付ける。
【0053】DおよびSに関する関数は、較正処理中に
決定され、端部領域に対してはD=fe (S)、内部
領域に対してはD=fi (S)と表わされる。これら
の関数は選択された現像時間に依存し、エッチング・パ
ラメータの各値に対して異った関数が存在する。この関
数も、一定のエッチング値がレジストの底部またはレジ
ストの上部で必要なのか否かに依存している。fe (
S)は通常、放物線によって良好に近似されるため、較
正処理において3つのパラメータを決定する必要がある
。fi (S)は通常、直線によって良好に近似される
ため、較正処理では2つのパラメータを決定するだけで
よい。 等しい形状拡大の要件は、これらD−S関数によって言
い換えられる。注目すべきは、D−S図は予め計算して
参照用テーブルに格納することもでき、Dの値は、そこ
で参照されるか、または近似関数から計算されることが
できることである。
【0054】図3は、α=0.1μm,バイアス=エッ
チング値=0.075μmとして計算したD−S関数の
例である。破線36は、端部領域に対して直線で近似さ
れた照射線量曲線に対応している。
【0055】内部領域のD−S関数と端部領域のD−S
関数は、fe とfi の間を切替わるためにクロスオ
ーバー関数を用いて単一の関数に統一され、D=fe/
i と書き、関数fe/i はfe からfi へ切替
わる。例えば:  fe/i (S)=fe (S)(
1−g(x,y))+fi (S)g(x,y)   
                         
                         
       (1)このときクロスオーバー関数g(
x,y)は、形状端での値0から内部領域点での値1に
、急に切替わる。注目すべきは、g(x,y)は選択的
に1と0の間で滑らかに切替わり、例えば、点(x,y
)から形状端までの距離d(x,y)の比として定義す
ることができることで、例えば関数gの最大値5αは、
1:g(d)=min{1,5α/d}に制限される。
【0056】細分形状の照射線量は、電子の前方散乱を
補正するために、さらに増大されなければならない。こ
れは、形状内近接効果と呼ばれ、設計の寸法が5α未満
の形状に補正する必要がある。但し、αは、主として電
子の前方散乱による電子ビームの拡散を特徴付ける大き
さパラメータである。
【0057】αは通常、約0.1μmである。5α未満
の寸法の小さな長方形形状に対して、その照射線量は、
後方散乱S(x,y)と形状の大きさに依存するα増大
係数を更に割り当てられる。幅wの長いライン状領域に
対し、α増大係数はこの幅に依存する。大きさがwx 
×wy の長方形初期形状に対し、α増大係数はx方向
とy方向のα増大係数の積に等しくなる。
【0058】図4は、α=0.1μm,バイアス=エッ
チング・パラメータ=0.075μmのときのα増大係
数の例を示す。これは、異なるライン寸法に対してそれ
ぞれの曲線を示す。0.5μm(5α)以上の寸法に対
して、α増大係数は1に等しい。
【0059】形状寸法と後方散乱へのα増大係数の依存
を示す表は、較正処理において決定される。α増大曲線
は通常、放物線によって良好に近似されるため、3つの
パラメータを較正処理で決定する必要がある。S(x,
y)へのα増大係数の依存は、あまり強くなく、第1の
近似では無視することができる。この結果、近似α増大
係数
【数1】 は、設計の各点に割当てられる。これは、寸法が5αよ
り大きい形状に対しては1に等しく、寸法が5αより小
さい形状に対しては1より大きい。近接効果補正過程の
最後に、α増大係数の近似値は、各点で後方散乱Sに依
存する、より正確な値によって置換えられる。これらの
計算は、照射線量割当てモジュール19において実施さ
れる。
【0060】照射線量に対するD−S関数は、設計にお
ける後方散乱フィールドS(x,y)の非線形積分方程
式に組入れられる。入射照射線量は縮小形状M′(x,
y)内でのみ適用される。M′(x,y)は、各端にお
いてエッチング・パラメータの値によって一様に縮小さ
れた形状に関する設計パターンを示す。よって、任意の
点(x,y)における照射線量は次の方程式を満足する
【数2】 逆に、後方散乱フィールドS(x,y)は、演算子関係
【数3】 による入射照射線量配分D(x,y)に依存する。この
とき、演算子
【数4】 は後方散乱の正規核B(x,y)の繰込み演算子であり
、全x−y平面における核の積分に等しい。これら2つ
の方程式の結果は次の通りである。
【数5】 インデックス“e”および“i”はそれぞれ端部領域内
の点と内部領域内の点に対応する。
【0061】積分方程式(4)は粗い長方形格子上に離
散化して解かれる。後方散乱は設計パターン上を緩やか
に変化するので、格子点の間隔は、広域散乱パラメータ
のほぼ何分の1かにできる。これは補間法に従えば、0
.2β〜βの範囲とすることができる。
【0062】設計パターンを示す関数M′は格子点の間
隔より短いスケール長で変化するので、特性関数M′(
x,y)の離散化は、M′(x,y)を各格子セルにお
ける積分Mijで置換することによって達成される。す
なわち、M′(x,y)はM′(x,y)=1である各
格子セルの面積に比例して置換される。この処理は“ラ
スタ化”と呼ばれる。
【0063】α増大係数はラスタ化において、各形状が
基となる加重と考えることができる。
【0064】この結果、離散化形態の積分方程式(4)
において、後方散乱関数S(x,y)は格子点において
値Sijによって表現され、関数
【数6】 はラスタ値Mijによって表現される。このラスタ化は
内部領域点および端部領域点に対して個別に計算される
。 Meij は、格子セルi,jの端部領域における関数
(数6)の積分に等しく、Miij は格子i,jの積
分領域における関数(数6)の積分に等しい。内部領域
に対しては
【数7】 である。これらの値Me/jij は予め計算され、近
接効果補正処理の最初にエッチング・パラメータの所定
値として格納される。
【0065】後方散乱Sijに対する離散化積分方程式
は、近接効果補正モジュール11により、全格子点にお
いて、予め割当てられたα増大係数の近似値を考慮した
反復法を用いて解かれる。
【0066】積分方程式を解くために、D−S関数は次
のように線形化される。
【0067】     fe (S)=De −ke S+Re (S
)    fi (S)=Di −ki S+Ri (
S)                      (
5)このとき典型的に、線形化誤差Ri (S)は関数
値の10%〜20%の範囲にあり、線形化誤差Ri (
S)はこの関数値の割合の2倍より小さい。
【0068】ラスタ化された縮小設計パターンM′e/
iij と核Bは予め計算され、積分方程式の解に使用
される。これらは内部点と端部点に対してそれぞれ、

数8】 として表現される。格子に付くインデックスiおよびj
はここでは、簡略化のために省略される。インデックス
“e”または“i”は、端部領域および内部領域それぞ
れの貢献に対応している。
【0069】後方散乱に対する基本積分方程式は:
【数
9】 である。
【0070】第1番目の反復は次のように計算される:
      S1 (x,y)=c(De he +D
i hi )/[1+c(ke he +ki hi 
)]                       
       (8)k番目の反復は次のように計算さ
れる:
【数10】 この積分方程式は、全格子点における後方散乱フィール
ドSijについて解かれる。形状上の与えられた任意の
点において所望の形状拡大を得るために必要な照射線量
値は、格子点間の後方散乱を補間し、計算された後方散
乱のためのD−S関数表において必要な照射線量を捜す
ことにより得られる。この照射線量計算は、図1の照射
線量割当てモジュール19によって行われる。
【0071】最後に、照射線量に正確なα増大係数を掛
ける。すなわち、形状寸法および後方散乱フィールドを
考慮するα増大関数を用いる。
【0072】その現像作用が“閾値モデル”として記述
されるレジストに対して、すなわち露光量が、このレジ
ストが完全に現像液に溶解する所定の閾値を超える全て
の点において、および、いくらかのレジストは現像後も
残ったままである他のすべての点において、本発明の第
2の実施例は用いられる。この場合、全形状が現像の際
、設計位置に存在するという要件は、全形状端において
等しい露光を保証するように照射線量値が割当てられる
なら満足させられる。全形状端における等しい露光の要
件は、端部領域に対して露光量Eが     E+2t=S               
                         
      (10)となるように適用されるなら達成
できる。但し、tは    t=0.5+c     
                         
              (11)によって与えら
れる閾値である。これは端部領域におけるDとSの関係
が     D=2t−2S=1+2c−2S      
                      (12
)であることを意味する。内部領域に対しては次のよう
に仮定される     D=1+c−S              
                         
     (13)この手法の長所は、tがグローバル
定数であること、すなわち、tは露光される形状の特定
の組に依存せずウェハにおいて、またはウェハからウェ
ハにかけて変化しないことである。特定の設計に対して
閾値を調整する必要はない。
【0073】設計に適用される照射線量に後方散乱を結
合する積分方程式は、前述のように、ラスタ化関数Me
/i およびhe/i を反復して用いることにより解
かれる。
【0074】第1の反復は次式によって与えられるS1
 =c[(1+2c)he +(1+c)hi ]/[
1+c(2he +hi)]            
        (14)次の反復は次式によって与え
られる。
【数11】 計算を実施した結果、十分な精度を得るために必要な反
復は2回であることが分かった。
【0075】一旦後方散乱Sに対する解が見つかると、
この方法は第1の実施例のように処理される。すなわち
、形状が一定照射線量の長方形に分割され、この照射線
量は関係式(12)を用いて、各長方形における後方散
乱の平均値から計算される。
【0076】ここに説明した本発明の特定の実施例は、
一定照射線量が長方形に与えられる種々の電子ビーム描
画装置に対してである。このような電子ビーム描画装置
に制御データを与えるために、設計は、一定照射線量が
与えられる多数の長方形に分割されなければならない。 これにはいくつかの方法がある。
【0077】例えば、設計形状はまず、前分割器13に
よって多数の初期細分形状、例えば長方形に分割される
。照射線量は、これらの初期細分形状それぞれの内で一
定であると仮定される。各細分形状における後方散乱表
現値(例えば後方散乱平均)は、基本積分方程式の解と
して得られる格子定数Sijの補間によって計算される
。照射線量値は、後方散乱表現値のためのD−S図にお
いて探索される。照射線量は、初期細分形状の寸法およ
び後方散乱表現値を用いて、α増大係数表で探索される
正確なα増大係数を乗ぜられる。入射照射線量の最終値
は、当該初期細分形状を実際に露光するために使用され
る。
【0078】選択的に、適応分割器19は、近接効果補
正後に設計形状を長方形に分割する。前分割器13は、
形状を端部領域および内部領域に細分するだけである。 適応分割器19はさらに、後方散乱フィールドを分析し
て、細分領域の初期細分形状への分割を定める。一旦適
応分割が行われると、各初期細分形状において必要な一
定照射線量は、前述の正確なα増大係数を用いてD−S
関数表において後方散乱値を探索することにより、正確
に計算される。
【0079】上記近接効果を補正するのに必要な較正を
以下に説明する。
【0080】本発明の実施に必要なパラメータの全組は
次の通りである 1.後方散乱演算子B(x,y)、または、ガウシアン
(Gaussian)によって近似されたβの値および
大きさ係数c 2.形状端の各点に対するD−S関数、すなわちエッチ
ング・パラメータおよび現像時間の所定値に対するD=
fe (S) 3.内部領域の各点に対するD−S関数、すなわちエッ
チング・パラメータおよび現像時間の同じ値に対するD
=fi (S) 4.α増大関数、すなわち、パラメータとしての形状寸
法を有する、α増大係数対後方散乱Sのための一連の曲
【数12】 実験による較正方法は、これらの入力パラメータを与え
るために用いられる。実験による較正では、特別の較正
パターンが露光,現像,および測定される。サブミクロ
ン技術では、それらは電子ビーム顕微鏡によって測定さ
れる。特別の較正プログラム・モジュールは、測定結果
プラス付加的エンジニアリング・データ、すなわち基準
電流密度/現像時間,内部領域点での所望のオーバー照
射線量,現像時間公差等を用い、電子ビーム・リソグラ
フィ要素のエッチング値と、現像時間および基本照射線
量(もし入力時に特定されていないなら)またはそのい
ずれか一方と、近接効果補正モジュールに与えられるべ
き一組の表とを計算する。測定データを処理すると必要
なパラメータと関数が得られる。
【0081】特別の較正パターンは、照射線量,寸法,
および後方散乱の適切な全組合せについて十分密な試料
を含んでいなければならず、そうならば現像後のライン
幅測定によってD−S図およびα増大係数図を導くこと
が可能である。ライン幅は、ライン幅制御がレジストの
上部または下部で必要とされるかどうかに従って、レジ
ストの上部、または下部で測定することができる。ここ
では較正処理の主要な段階を概説し、較正形状パターン
の例を説明する。
【0082】αの値は明白に用いられない。しかし、較
正を開始するためには、α,β,およびcについてのい
くつかの大雑把な初期評価が必要である。エッチング・
パラメータが与えられたと仮定する。選択的に大形状の
ためのオーバー照射線量を与えることができる。現像時
間は固定する。
【0083】大抵のアプリケーションでは、内部点に対
するD−S関数は直線によって十分近似され、端部点に
対するD−S関数は放物線によって十分近似される。S
の関数としてのα増大係数は、形状寸法の各値に対して
、放物線によって十分近似される。そのため、端部点に
対するD−S図とα増大係数図の較正は、曲線1本につ
き3個の点で十分である。
【0084】較正処理は露光と、現像と、図5に示した
基本パターンの繰り返しである特別のパターンの幅の測
定とを含み、その照射線量は暗領域に与えられる。照射
線量の量はy軸に沿って緩やかに増大する。図5に示し
た基本パターンは、異なるライン幅、すなわち約5α以
下に始まるwで繰り返される。図5において細いライン
42,44,50,および残りの領域に対する照射線量
D(y)は、y軸に沿って緩やかに増大し、(β/D(
y))×(dD(y)/dy)<<1である。図5の4
2,44で示される細いラインは、追加の増大係数φ1
 およびφ2 でそれぞれ露光される。
【0085】約5αのライン幅wを有する基本パターン
は、D−S図の決定に役立つ。このために、図5の細い
ライン42および50のライン幅は、測定され、高さh
1 およびh2 は、ライン幅がwから所定のエッチン
グ値を引いたものに等しくなる場所に配置される。配置
された高さに対応する照射線量D1 =φ1 D(h1
 )およびD3 =D(h3 )は、それぞれS1 =
0およびS3=cD3 としたときのD−S図照射線量
であり、このとき後方散乱S3 =cD3 は正確に大
形状内の最大後方散乱S0 であり、照射線量D3 は
大形状の内部点に対する基本照射線量D0 である。
【0086】基本パターンの中心における端部領域46
の回りの後方散乱は、精密な近似によると、D(y)*
c/2に等しい。端部領域46の左側にある細いライン
44の幅は測定され、この幅がwからエッチング値を引
いたものに等しくなる場所に高さh2 は配置される。 この高さに対応する照射線量D2 =φ2 D(h2 
)対後方散乱D(h2 )*c/2はD−S図の中央に
1つの点を作り出す。
【0087】精度を上げるために、さらに端46の右側
の細い間隙の幅を測定し、この幅がwにエッチング値を
加えたものに等しくなる場所に高さh5 を配置するこ
とができる。この高さ対後方散乱D(h5 )*c/2
に対応する照射線量D(h5 )はD−S図の制御点と
して役立つ。
【0088】正確に、同じ手続きが5αより小さい幅の
他の基本パターンに適用され、その結果、α増大関数の
3つの(または4つの)点は、各寸法パラメータのため
に計算される。
【0089】注目すべきことに、システムの完全な較正
は、レジスト溶解速度の特徴付け、および、レジスト現
像処理のさらなるソフトウェア・シミュレーションのよ
うな他の方法によって行うことができる。較正処理は、
レジストに吸収されたエネルギーの配分、および、各レ
ジスト・システムに対して機械的に実施される溶解速度
実験のモンテカルロ・シミュレーションを利用する。レ
ジスト溶解モデルは特に、D−S図およびα増大係数図
の作業に適する。実験的方法は、レジスト現像処理のコ
ンピュータ・シミュレーション方法にまさる。なぜなら
、現像処理のコンピュータ・シミュレーションは、結果
としての形状寸法に影響するいくつかの効果を不正確に
シミュレートしたり、見落としたりする可能性があるか
らである。
【0090】
【発明の効果】ここに、エッチング等化原理に基づいた
電子ビーム近接効果補正のための新しい手法を開示した
。本発明は、基本原則に基づきビーム幅の約2倍に至る
高品質のマスクを系統的に提供する。
【図面の簡単な説明】
【図1】ポスト・プロセッサの略図である。
【図2】基板上に形成される設計パターンの一実施例を
示す図である。
【図3】端部領域点および内部領域点の双方に対するD
−S図の例である。
【図4】α増大係数図の一例を示す図である。
【図5】近接効果補正システムの較正に使用するテスト
・パターンの一実施例を示す図である。
【符号の説明】
11  近接効果補正モジュール 12  設計パターン入力 17  前分割器 16  較正データ 18  適応分割器(選択) 19  照射線量割当てモジュール 20  電子ビーム描画装置

Claims (14)

    【特許請求の範囲】
  1. 【請求項1】電子ビーム感応レジスト上に少なくとも1
    つの設計形状を含む設計パターンを露光する電子ビーム
    ・リソグラフィ・システムにおける近接効果補正方法で
    あって、現像の際、ある形状制御要件を満足する与えら
    れた任意の設計点で必要な電子ビーム照射線量を決定す
    るステップを含む近接効果補正方法において、電子ビー
    ム照射線量の決定は、前記設計パターン上の任意の点で
    近接効果補正の程度を表わすインディケータと、前記必
    要な電子ビーム照射線量との間の予め定められた関係に
    よって行われ、設計形状上にない点では前記照射線量が
    零になるように制限され、少なくとも複数の前記設計点
    において、前記電子ビーム照射線量配分のための前記イ
    ンディケータに関する積分方程式の解を計算するステッ
    プ、を含む近接効果補正方法。
  2. 【請求項2】縮小した設計形状を形成するために予め定
    められたバイアスによって各設計形状を縮小させる前段
    階のステップを含み、前記形状制御要件は、各縮小した
    設計形状が、現像の際、予め定められたバイアス値によ
    って拡大されることである、請求項1記載の近接効果補
    正方法。
  3. 【請求項3】前記インディケータは電子の後方散乱であ
    る、請求項1または2記載の近接効果補正方法。
  4. 【請求項4】前記予め定められた関係が、前記電子ビー
    ム・リソグラフィ・システムおよび予め定められたバイ
    アス値に対して、設計形状の端上の点における前記後方
    散乱と、前記設計形状の端が前記バイアス値によって現
    像の際移動するように前記点で要求される電子ビーム照
    射線量との関係を決定することにより予め定められる、
    請求項3記載の近接効果補正方法。
  5. 【請求項5】Dは必要な照射線量、Sは電子の後方散乱
    、tは閾値照射線量として、前記予め定められた関係が
    式D=2t−2Sによって表わされ、もしレジスト上の
    点に与えられる前記照射線量が前記閾値照射線量より大
    きければ、現像の際その点で前記レジストが完全に溶解
    される、請求項3記載の近接効果補正方法。
  6. 【請求項6】前記インディケータと必要な照射線量との
    間の予め定められた関係は、前記必要な電子ビーム照射
    線量が、縮小した設計形状上に存在する点に対する前記
    インディケータに等しいことである、請求項1記載の近
    接効果補正方法。
  7. 【請求項7】前記設計パターン上の与えられた任意の点
    における前記電子ビーム照射線量の決定は、複数の点の
    いずれかにおける前記インディケータの前記値を結合す
    るステップを含む、請求項1〜6のいずれかに記載の近
    接効果補正方法。
  8. 【請求項8】前記設計パターンの前記形状を前記必要な
    照射線量の決定に先立って端部領域と完全に端部領域に
    よって囲まれる内部領域とに分割するステップを含み、
    内部領域の点に対する前記電子ビーム照射線量は、その
    点の全レジストが現像の際溶解するという付加的制限に
    よって決定される、請求項1〜7のいずれかに記載の近
    接効果補正方法。
  9. 【請求項9】前記積分方程式の解法は、前記複数の点の
    それぞれに対して、試行照射線量関数のインディケータ
    を決定するステップと、前記予め定められた関係により
    、および前記複数の点それぞれにおけるインディケータ
    値により、前記形状制御要件を満足するような前記複数
    の点それぞれにおいて必要な照射線量を決定し、次回の
    試行照射線量関数として前記定められた必要な照射線量
    値を用いるステップと、を繰り返し実行する、請求項1
    〜8のいずれかに記載の近接効果補正方法。
  10. 【請求項10】前記必要な照射線量を決定するステップ
    は、前記レジストから電子の前方散乱によって生じた形
    状歪を補正する補正係数を、前記照射線量に掛けるステ
    ップを含む、請求項1〜9のいずれかに記載の近接効果
    補正方法。
  11. 【請求項11】前記複数の点は直交格子に配置され、前
    記格子点の間隔は最小設計形状の最小直線長さより大き
    い、請求項1〜10のいずれかに記載の近接効果補正方
    法。
  12. 【請求項12】請求項1〜11のいずれかに記載された
    方法を含む、電子ビーム・リソグラフィの処理方法。
  13. 【請求項13】請求項12に記載の電子ビーム・リソグ
    ラフィ処理方法を含む、集積回路の製造方法。
  14. 【請求項14】少なくとも1つの設計形状を含む設計パ
    ターンが電子ビーム感応レジスト上に露光される電子ビ
    ーム・リソグラフィ・システムのための近接効果補正装
    置であって、予め定められたバイアスによって縮小した
    設計形状を形成するように各設計形状を縮小させる手段
    と、各縮小した設計形状が現像の際予め定められたバイ
    アス値によって拡大されるように前記設計の与えられた
    任意の点において必要な電子ビーム照射線量を決定する
    論理回路とを有する近接効果補正装置において、必要な
    電子ビーム照射線量の決定は、前記設計パターン上の任
    意の点における近接効果の程度を表わすインディケータ
    と必要な電子ビーム照射線量との予め定められた関係に
    よって行われ、前記必要な電子ビーム照射線量は、設計
    形状上にない点では零に制限され、前記決定論理回路は
    、前記設計パターンの前記複数の点において前記電子ビ
    ーム照射線量配分に対するインディケータに関する積分
    方程式の解を計算する論理回路を有する、近接効果補正
    装置。
JP4018377A 1991-01-24 1992-01-08 電子ビーム・リソグラフィの近接効果補正方法 Pending JPH04307723A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IL97022A IL97022A0 (en) 1991-01-24 1991-01-24 Partitioning method for e-beam lithography
IL097022 1991-01-24

Publications (1)

Publication Number Publication Date
JPH04307723A true JPH04307723A (ja) 1992-10-29

Family

ID=11062037

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4018377A Pending JPH04307723A (ja) 1991-01-24 1992-01-08 電子ビーム・リソグラフィの近接効果補正方法

Country Status (4)

Country Link
US (1) US5241185A (ja)
EP (1) EP0496158A3 (ja)
JP (1) JPH04307723A (ja)
IL (1) IL97022A0 (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002008966A (ja) * 2000-06-21 2002-01-11 Dainippon Printing Co Ltd 微細加工のシミュレーション方法
US7810066B2 (en) 2006-10-06 2010-10-05 Elpida Memory, Inc. Irradiation pattern data generation method, mask fabrication method, and plotting system
JP2014060194A (ja) * 2012-09-14 2014-04-03 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP2015096958A (ja) * 2008-09-01 2015-05-21 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 可変整形ビームリソグラフィを用いたレチクルの光近接効果補正、設計、および製造のための方法
JP2015520408A (ja) * 2012-04-18 2015-07-16 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9268214B2 (en) 2008-09-01 2016-02-23 D2S, Inc. Method for forming circular patterns on a surface
US9274412B2 (en) 2008-09-01 2016-03-01 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9372391B2 (en) 2008-09-01 2016-06-21 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9465297B2 (en) 2011-06-25 2016-10-11 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5393634A (en) * 1993-05-27 1995-02-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Continuous phase and amplitude holographic elements
DE4317899C2 (de) * 1993-05-28 1997-09-18 Hans Eisenmann Verfahren zur Abbildung mittels Elektronenstrahl-Lithographie
JP2647000B2 (ja) * 1994-05-25 1997-08-27 日本電気株式会社 電子ビームの露光方法
JPH08297692A (ja) * 1994-09-16 1996-11-12 Mitsubishi Electric Corp 光近接補正装置及び方法並びにパタン形成方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5663893A (en) * 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
JP3348586B2 (ja) * 1995-12-28 2002-11-20 ソニー株式会社 電子線リソグラフィ技術における近接効果補正法
US5825040A (en) * 1996-12-23 1998-10-20 The United States Of America As Represented By The Secretary Of The Navy Bright beam method for super-resolution in e-beam lithography
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6453452B1 (en) 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
WO2000060415A1 (de) * 1999-04-01 2000-10-12 Sigma-C Gmbh Verfahren zur korrektur von abbildungsfehlern
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6476400B1 (en) * 1999-12-21 2002-11-05 International Business Machines Corporation Method of adjusting a lithography system to enhance image quality
US6584609B1 (en) * 2000-02-28 2003-06-24 Numerical Technologies, Inc. Method and apparatus for mixed-mode optical proximity correction
US6647137B1 (en) 2000-07-10 2003-11-11 International Business Machines Corporation Characterizing kernel function in photolithography based on photoresist pattern
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
US6792590B1 (en) 2000-09-29 2004-09-14 Numerical Technologies, Inc. Dissection of edges with projection points in a fabrication layout for correcting proximity effects
US6539521B1 (en) 2000-09-29 2003-03-25 Numerical Technologies, Inc. Dissection of corners in a fabrication layout for correcting proximity effects
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6557162B1 (en) * 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6665856B1 (en) 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
US6596444B2 (en) 2000-12-15 2003-07-22 Dupont Photomasks, Inc. Photomask and method for correcting feature size errors on the same
US6653026B2 (en) 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US6789237B1 (en) * 2001-05-11 2004-09-07 Northwestern University Efficient model order reduction via multi-point moment matching
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US7011926B2 (en) 2001-10-11 2006-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gap forming pattern fracturing method for forming optical proximity corrected masking layer
US20030228542A1 (en) * 2002-06-06 2003-12-11 Seagate Technology Llc Method and structure to reduce e-beam and magnetic material interactions
US6792592B2 (en) 2002-08-30 2004-09-14 Numerical Technologies, Inc. Considering mask writer properties during the optical proximity correction process
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US6998217B2 (en) * 2003-01-06 2006-02-14 Applied Materials, Inc. Critical dimension edge placement and slope enhancement with central pixel dose addition and modulated inner pixels
US20040173921A1 (en) * 2003-03-07 2004-09-09 Konica Minolta Holdings, Inc. Electron beam depicting method, production method of mother die, mother die, production method of metallic mold, metallic mold, optical element and electron beam depicting apparatus
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JP4825450B2 (ja) * 2005-05-16 2011-11-30 株式会社東芝 パターン描画システム、荷電ビーム描画方法、及びフォトマスク製造方法
US7747977B1 (en) 2005-09-15 2010-06-29 D2S, Inc. Method and system for stencil design for particle beam writing
US7476879B2 (en) * 2005-09-30 2009-01-13 Applied Materials, Inc. Placement effects correction in raster pattern generator
US7498591B2 (en) * 2005-09-30 2009-03-03 Applied Materials, Inc. Critical dimension effects correction in raster pattern generator
JP5063071B2 (ja) * 2006-02-14 2012-10-31 株式会社ニューフレアテクノロジー パタン作成方法及び荷電粒子ビーム描画装置
US7897008B2 (en) 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7902528B2 (en) * 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7824828B2 (en) * 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
DE102010004939A1 (de) * 2010-01-18 2011-07-21 EQUIcon Software GmbH Jena, 07745 Verfahren zur Steuerung der Elektronenstrahl-Belichtung von Wafern und Masken mit Proximity-Korrektur
US8178280B2 (en) * 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
US8539392B2 (en) 2011-02-24 2013-09-17 National Taiwan University Method for compensating proximity effects of particle beam lithography processes
US9484186B2 (en) * 2012-10-23 2016-11-01 Synopsys, Inc. Modeling and correcting short-range and long-range effects in E-beam lithography
CN113835307B (zh) * 2021-09-23 2022-10-28 中国科学院微电子研究所 一种电子束邻近效应的校正方法及其装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61191027A (ja) * 1985-02-20 1986-08-25 Fujitsu Ltd 電子ビ−ム露光方法
JPH02224321A (ja) * 1989-02-27 1990-09-06 Nippon Telegr & Teleph Corp <Ntt> 電子ビーム描画装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0080526B1 (en) * 1981-11-30 1985-11-06 International Business Machines Corporation Method and apparatus for improving the uniformness of patterns generated by electron beam lithography
US4463265A (en) * 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
US4520269A (en) * 1982-11-03 1985-05-28 International Business Machines Corporation Electron beam lithography proximity correction method
EP0166549A2 (en) * 1984-06-21 1986-01-02 Varian Associates, Inc. Method for proximity effect correction in electron beam lithography systems
US4943729A (en) * 1987-12-18 1990-07-24 Hitachi, Ltd. Electron beam lithography system
US5051598A (en) * 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61191027A (ja) * 1985-02-20 1986-08-25 Fujitsu Ltd 電子ビ−ム露光方法
JPH02224321A (ja) * 1989-02-27 1990-09-06 Nippon Telegr & Teleph Corp <Ntt> 電子ビーム描画装置

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002008966A (ja) * 2000-06-21 2002-01-11 Dainippon Printing Co Ltd 微細加工のシミュレーション方法
JP4522547B2 (ja) * 2000-06-21 2010-08-11 大日本印刷株式会社 微細加工のシミュレーション方法
US7810066B2 (en) 2006-10-06 2010-10-05 Elpida Memory, Inc. Irradiation pattern data generation method, mask fabrication method, and plotting system
US9268214B2 (en) 2008-09-01 2016-02-23 D2S, Inc. Method for forming circular patterns on a surface
JP2015096958A (ja) * 2008-09-01 2015-05-21 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 可変整形ビームリソグラフィを用いたレチクルの光近接効果補正、設計、および製造のための方法
US9715169B2 (en) 2008-09-01 2017-07-25 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9274412B2 (en) 2008-09-01 2016-03-01 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9625809B2 (en) 2008-09-01 2017-04-18 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9372391B2 (en) 2008-09-01 2016-06-21 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US10101648B2 (en) 2008-09-01 2018-10-16 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9465297B2 (en) 2011-06-25 2016-10-11 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9400857B2 (en) 2011-09-19 2016-07-26 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US10031413B2 (en) 2011-09-19 2018-07-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP2015520408A (ja) * 2012-04-18 2015-07-16 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9859100B2 (en) 2012-04-18 2018-01-02 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US10431422B2 (en) 2012-04-18 2019-10-01 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP2014060194A (ja) * 2012-09-14 2014-04-03 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法

Also Published As

Publication number Publication date
IL97022A0 (en) 1992-03-29
EP0496158A3 (en) 1992-08-26
EP0496158A2 (en) 1992-07-29
US5241185A (en) 1993-08-31

Similar Documents

Publication Publication Date Title
JPH04307723A (ja) 電子ビーム・リソグラフィの近接効果補正方法
JP4476975B2 (ja) 荷電粒子ビーム照射量演算方法、荷電粒子ビーム描画方法、プログラム及び荷電粒子ビーム描画装置
US10031413B2 (en) Method and system for forming patterns using charged particle beam lithography
US9715169B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI605302B (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法
KR100857959B1 (ko) 패턴 작성 방법 및 하전 입자빔 묘화 장치
JP5020849B2 (ja) 荷電粒子ビーム描画装置、パターンの寸法誤差補正装置及びパターンの寸法誤差補正方法
JP2501265B2 (ja) 電子ビ―ム・リソグラフィにおける設計パタ―ン分割方法および装置
EP3264442A1 (en) Bias correction for lithography
US20140353526A1 (en) Method and system for forming high accuracy patterns using charged particle beam lithography
US11062878B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20230386784A1 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP4189232B2 (ja) パターン形成方法および描画方法
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
KR102366045B1 (ko) 하전 입자 빔 묘화 방법 및 하전 입자 빔 묘화 장치
US11886166B2 (en) Method and system of reducing charged particle beam write time
JP2004111798A (ja) 荷電粒子ビーム露光方法
JPH02186620A (ja) 電子ビーム描画によるパターン形成方法