JP7493309B2 - 炭化ケイ素体を有する半導体デバイスおよび製造方法 - Google Patents

炭化ケイ素体を有する半導体デバイスおよび製造方法 Download PDF

Info

Publication number
JP7493309B2
JP7493309B2 JP2019089784A JP2019089784A JP7493309B2 JP 7493309 B2 JP7493309 B2 JP 7493309B2 JP 2019089784 A JP2019089784 A JP 2019089784A JP 2019089784 A JP2019089784 A JP 2019089784A JP 7493309 B2 JP7493309 B2 JP 7493309B2
Authority
JP
Japan
Prior art keywords
layer
gate
metal
semiconductor device
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019089784A
Other languages
English (en)
Other versions
JP2019212902A (ja
Inventor
ラルフ シーミーニエック,
トーマス アイヒンガー,
ロマン エステベ,
ラヴィ ケシャブ ジョシ,
シーチン ニウ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of JP2019212902A publication Critical patent/JP2019212902A/ja
Application granted granted Critical
Publication of JP7493309B2 publication Critical patent/JP7493309B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66053Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide
    • H01L29/66068Multistep manufacturing processes of devices having a semiconductor body comprising crystalline silicon carbide the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/048Making electrodes
    • H01L21/049Conductor-insulator-semiconductor electrodes, e.g. MIS contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • H01L29/0623Buried supplementary region, e.g. buried guard ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7393Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET
    • H01L29/7395Vertical transistors, e.g. vertical IGBT
    • H01L29/7396Vertical transistors, e.g. vertical IGBT with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7393Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET
    • H01L29/7395Vertical transistors, e.g. vertical IGBT
    • H01L29/7396Vertical transistors, e.g. vertical IGBT with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions
    • H01L29/7397Vertical transistors, e.g. vertical IGBT with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions and a gate structure lying on a slanted or vertical surface or formed in a groove, e.g. trench gate IGBT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • H01L29/7828Vertical transistors without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • H01L29/0696Surface layout of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7811Vertical DMOS transistors, i.e. VDMOS transistors with an edge termination structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本開示は、高電圧阻止能力を有する炭化ケイ素半導体デバイスに関する。
パワー半導体デバイスは、通常、たとえばDC/ACコンバータ、AC/ACコンバータ、またはAC/DCコンバータにおいて電気エネルギーを変換し、重い誘導性負荷を駆動するために使用される。縦型パワー半導体デバイスでは、表側での第1の負荷電極と半導体ダイの裏側での第2の負荷電極との間で負荷電流が流れる。ケイ素と比較して炭化ケイ素の絶縁破壊電界強度は高いので、炭化ケイ素の半導体スイッチは、公称阻止電圧が同じ場合での同等のケイ素デバイスよりも著しく薄い。したがって、炭化ケイ素半導体デバイスのオン抵抗は、電圧阻止能力が同じケイ素デバイスのオン抵抗よりも著しく低くすることができる。
所与のサイズの炭化ケイ素デバイスのオン抵抗を、さらに改善する必要がある。
本開示の一実施形態は、ゲート電極およびゲート誘電体を備える半導体デバイスに関する。ゲート電極は、炭化ケイ素体の第1の表面から、この炭化ケイ素体内にまで延在する。ゲート誘電体は、ゲート電極と炭化ケイ素体との間に配置される。ゲート電極は、金属構造体、およびこの金属構造体とゲート誘電体との間に半導体層を備える。
本開示の別の態様は、半導体デバイスを製造する方法に関する。この方法は、炭化ケイ素基板を設けることを含み、ここでゲート・トレンチが、炭化ケイ素基板の主表面から炭化ケイ素基板内にまで延在する。ゲート・トレンチの少なくとも1つの側壁上に、ゲート誘電体が形成される。ゲート・トレンチ内にゲート電極が形成される。ゲート電極は、金属構造体、およびこの金属構造体とゲート誘電体との間に半導体層を備える。
添付図面は、実施形態をさらに理解できるように添付されており、本明細書に組み込まれ、またその一部分をなしている。各図面は、半導体デバイス、および半導体デバイスを製造する方法の実施形態を示しており、この説明とともに実施形態の原理を説明するのに役立つものである。以下の詳細な説明および特許請求の範囲に、さらなる実施形態が記載されている。
一実施形態による半導体デバイスの一部分の概略垂直断面図を示す。 さらなる実施形態による、接触層を有する半導体デバイスの一部分の概略垂直断面図を示す。 さらなる実施形態による、ゲート構造体が表側にある半導体デバイスの概略平面図を示す。 片側チャネルを有するトランジスタ・セルを備える半導体デバイスの、概略水平断面図を示す。 片側チャネルを有するトランジスタ・セルを備える半導体デバイスの、概略垂直断面図を示す。 片側チャネルを有するトランジスタ・セルに関連するさらなる実施形態による接触層を有する半導体デバイスの概略横断面図を示す。 深い接触構造体を有するトランジスタ・セルに関連するさらなる実施形態による接触層を有する半導体デバイスの概略横断面図を示す。 シャロー・トレンチ・ゲート構造体を有するトランジスタ・セルに関連するさらなる実施形態による接触層を有する、半導体デバイスの概略横断面図を示す。 半導体デバイスを製造する方法を説明するための、簡略化された流れ図である。 第1の負荷電極の接触層と金属ゲート電極の第1の層との同時形成に関する実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層と金属ゲート電極の第1の層との同時形成に関する実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層と金属ゲート電極の第1の層との同時形成に関する実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層と金属ゲート電極の第1の層との同時形成に関する実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層と金属ゲート電極の第1の層との同時形成に関する実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層と金属ゲート電極の第1の層との同時形成に関する実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層と金属ゲート電極の第1の層との同時形成に関する実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層と金属ゲート電極の第1の層との同時形成に関する実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の事前ケイ素化に関する別の実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の事前ケイ素化に関する別の実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の事前ケイ素化に関する別の実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の事前ケイ素化に関する別の実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の事前ケイ素化に関する別の実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の事前ケイ素化に関する別の実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の事前ケイ素化に関する別の実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の事前ケイ素化に関する別の実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の形成に先立つ、金属ゲート電極の第1の層の形成に関するさらなる実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の形成に先立つ、金属ゲート電極の第1の層の形成に関するさらなる実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の形成に先立つ、金属ゲート電極の第1の層の形成に関するさらなる実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の形成に先立つ、金属ゲート電極の第1の層の形成に関するさらなる実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の形成に先立つ、金属ゲート電極の第1の層の形成に関するさらなる実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極の接触層の形成に先立つ、金属ゲート電極の第1の層の形成に関するさらなる実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 金属ゲート電極の第1の層の形成に先立つ、第1の負荷電極のニッケル・アルミニウム接触層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 金属ゲート電極の第1の層の形成に先立つ、第1の負荷電極のニッケル・アルミニウム接触層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 金属ゲート電極の第1の層の形成に先立つ、第1の負荷電極のニッケル・アルミニウム接触層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 金属ゲート電極の第1の層の形成に先立つ、第1の負荷電極のニッケル・アルミニウム接触層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 金属ゲート電極の第1の層の形成に先立つ、第1の負荷電極のニッケル・アルミニウム接触層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 金属ゲート電極の第1の層の形成に先立つ、第1の負荷電極のニッケル・アルミニウム接触層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 金属ゲート電極の第1の層の形成に先立つ、第1の負荷電極のニッケル・アルミニウム接触層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極のニッケル・アルミニウム接触層の形成に先立つ、モリブデンを含む金属ゲート電極の第1の層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極のニッケル・アルミニウム接触層の形成に先立つ、モリブデンを含む金属ゲート電極の第1の層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極のニッケル・アルミニウム接触層の形成に先立つ、モリブデンを含む金属ゲート電極の第1の層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極のニッケル・アルミニウム接触層の形成に先立つ、モリブデンを含む金属ゲート電極の第1の層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極のニッケル・アルミニウム接触層の形成に先立つ、モリブデンを含む金属ゲート電極の第1の層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極のニッケル・アルミニウム接触層の形成に先立つ、モリブデンを含む金属ゲート電極の第1の層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極のニッケル・アルミニウム接触層の形成に先立つ、モリブデンを含む金属ゲート電極の第1の層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 第1の負荷電極のニッケル・アルミニウム接触層の形成に先立つ、モリブデンを含む金属ゲート電極の第1の層の形成に関する一実施形態による、半導体デバイスを製造する方法を説明するための、半導体基板の概略垂直断面図を示す。 さらなる実施形態による、リン含有量の少ないゲート電極を有する半導体デバイスの一部分の概略垂直断面図を示す。
以下の詳細な説明では、その一部分を形成し、実施形態を実施することのできる具体的な実施形態を例として示す添付図面を参照する。本開示の範囲から逸脱することなく、他の実施形態を利用してもよく、また構造的または論理的な変更を加えてもよいことを理解されたい。たとえば、一実施形態向けに図示され、または説明されている特徴を、他の実施形態に使用し、または他の実施形態とともに使用して、さらなる実施形態を生み出すことができる。本開示は、このような修正形態および変形形態を含むものである。各例は、具体的な文言を使用して説明してあり、添付特許請求の範囲に記載の範囲を限定するものと解釈すべきではない。各図面の縮尺は精確ではなく、例示的な目的のためだけにある。別段の記載がなければ、対応する各要素は、様々な図面において同じ参照符号によって示してある。
「having」、「containing」、「including」、「comprising」などの用語は排他的ではなく、所定の構造体、要素、または特徴の存在を示すが、追加の要素または特徴を排除するものではない。冠詞「a」、「an」、および「the」は、文脈が明らかに他の意味を示すのでない限り、単数のみならず複数をも含むことを意図する。
「電気的に接続された」という用語は、電気的に接続された要素間の永続的な低抵抗接続、たとえば、当該要素間の直接接触、または金属ならびに/もしくは高濃度ドープの半導体材料を介した低抵抗接続を表す。「電気的に結合された」という用語は、信号および/または電力の伝送用に適合した1つまたは複数の介在要素が、電気的に結合された要素間、たとえば、第1の状態での低抵抗接続、および第2の状態での高抵抗電気デカップリングを一時的に実現するように制御可能である各要素間に存在してもよいことを含む。
各図は、ドーピング・タイプ「n」または「p」の次に「-」または「+」を示すことによって、相対的なドーピング濃度を示す。たとえば、「n-」は「n」ドーピング領域のドーピング濃度より低いドーピング濃度を意味し、「n+」ドーピング領域は、「n」ドーピング領域よりドーピング濃度が高い。相対ドーピング濃度が同じドーピング領域は、必ずしも絶対ドーピング濃度が同じわけではない。たとえば、2つの異なる「n」ドーピング領域は、絶対ドーピング濃度が同じでもよく、または異なっていてもよい。
物理的寸法に与えられる範囲は境界値を含む。たとえば、a~bのパラメータyの範囲は、a≦y≦bであると解釈する。「最大でも」および「少なくとも」のように境界値が1つの範囲にも、同じことが言える。
化合物または合金からの層または構造の主成分は、その原子がその化合物または合金を形成するような元素である。たとえば、ニッケルおよびケイ素は、ニッケルシリサイド層の主成分であり、銅およびアルミニウムは、銅アルミニウム合金の主成分である。物質の混合物からの層または構造体の主成分は、この混合物中で規定された比率を有することになる要素である。たとえば、同時スパッタリングによって得られる層の主成分は、同時スパッタリングに使用される対象物に含まれる元素である。この主成分に加えて、各層および各構造体は、プロセスの不完全性に起因する意図しない不純物、ならびにドーパントなど意図的な添加剤を含んでもよい。
本出願との関連で使用される金属および金属性という用語は、半金属を含まない。具体的には、金属および金属性という用語は、ホウ素、ケイ素、ゲルマニウム、ヒ素、アンチモン、および/またはテルルといった元素を含まない。たとえば、金属という用語は、遷移金属およびポスト遷移金属を含む。ポスト遷移金属は、原子番号13、30~31、48~50、および80~84の化学元素を含んでもよい。遷移金属は、少なくとも、原子番号21~29、39~47、および72~79の化学元素を含んでもよい。金属構造体は、少なくとも金属性部分を含み、この金属性部分に加えて、非金属材料または半金属材料からのさらなる部分を含んでもよい。
共形層は、この共形層が形成される基材との界面に沿って、実質的に厚さが同じである。共形層は、基材のエッジ、ステップ、または他の要素に沿って厚さの変化をわずかに示すことがあるが、それにもかかわらず、厚さの変化の大きさが共形層の平均厚さと比較して小さい場合には共形層とみなされる。共形層は、CVD(化学気相成長)、めっき、またはALD(原子層堆積)などの薄膜堆積法によって形成してもよい。
一実施形態は、炭化ケイ素体の第1の表面から、炭化ケイ素体中に延在することのあるゲート電極を有する半導体デバイスに関する。ゲート誘電体は、ゲート電極と炭化ケイ素体との間に配置されてもよい。ゲート電極は、金属構造体および半導体層を備えてもよく、ここでこの半導体層は、金属構造体とゲート誘電体との間に存在する。
炭化ケイ素体の第1の表面は、炭化ケイ素体の主表面でもよく、これに沿って炭化ケイ素体が延在する。第1の表面は、横方向に広がっていてもよい。鉛直方向で第1の表面に対して垂直に、炭化ケイ素体は、横方向での炭化ケイ素体の延在と比較して厚さがわずかである。
金属構造体は、ゲート電極の配線抵抗値を低減することができる。金属構造体はまた、ストライプ形状のゲート電極に沿った半導体デバイスの切替え動作の均一性を改善することができ、半導体デバイスの横方向の延在全体にわたってオン電流をより均一に分配する。
半導体層は、半導体デバイスの閾値電圧を金属構造体の仕事関数から切り離すことができるように、この金属構造体をゲート誘電体から分離してもよい。半導体層が存在することで、ボディ領域内のドーパント濃度が減少するのを防止することができ、通常これを、より長いチャネル長によって補償して、ドレイン誘起障壁低下(DIBL)を回避しなければならない。チャネルをより長くすると、本質的にチャネル抵抗値を増大させることなく、半導体層が金属ゲートの使用を容易にすることがさらに可能である。
半導体層は、金属構造体を形成している間に、ゲート誘電体をさらに覆って保護することができる。前記形成は、ゲート誘電体内の欠陥につながる可能性のある、侵襲性の前駆物質、たとえば塩素ベースの前駆物質を加えることを含んでもよい。
一実施形態によれば、半導体層は、ドープ済み多結晶ケイ素、たとえばnドープ多結晶ケイ素、および真性多結晶ケイ素のうち少なくとも1つを含んでもよい。ゲート電極の有効仕事関数およびボディ領域でのドーピングは、半導体デバイスの閾値電圧を規定するのに寄与する。高濃度ドープの多結晶ケイ素から少なくとも部分的に半導体層を形成することで、ボディ領域でのドーパント濃度を比較的高くすることが容易にでき、ドーパント濃度が高いと、チャネル抵抗を比較的低くすることができる。半導体層内のドーピング濃度は、たとえば多結晶ケイ素の場合、少なくとも1018cm-3でもよく、最大でも2×1021cm-3でもよい。
半導体層は、不要な不純物として、または意図された不純物としてリン原子を含んでもよく、この半導体層中のリン原子の濃度は、最大でも1019cm-3、または最大でも5×1018cm-3、または最大でも1018cm-3である。こうした低いリン含有量は、ゲート電極中の半導体層の良好な導電性を可能にするのに十分となり得る。
たとえば、半導体層は、平均ドーパント濃度が少なくとも1020cm-3の比較的高濃度ドープのn型多結晶ケイ素層でもよく、この半導体層は、ヒ素原子、硫黄原子、および/またはセレン原子を含む。たとえば、半導体層は、最大でも1019cm-3、または最大でも5×1018cm-3、または最大でも1018cm-3のリン濃度で、リン原子を全く含まないか、またはごく一部だけ含む。
別の例によれば、半導体層は、比較的弱めにドープされたn型多結晶ケイ素層でもよく、ここで、半導体層中の総ドナー濃度は、最大でも5×1019cm-3、または最大でも1019cm-3、または最大でも5×1018cm-3である。たとえば、弱めにドープされたn型多結晶ケイ素層中のリン濃度は、最大でも5×1018cm-3、または最大でも1018cm-3でもよい。
一代替実施形態によれば、半導体層は、真性、または正味ドーパント濃度が真性キャリア濃度よりも低いほぼ真性であってもよい。
一実施形態によれば、半導体層はp型結晶ケイ素層でもよく、またはその層を含んでもよい。具体的には、半導体層は、平均ドーパント濃度が少なくとも1020cm-3の比較的高濃度ドープのp型多結晶ケイ素層でもよく、またはその層を含んでもよい。この場合、半導体層は、もっぱらアクセプタ原子、たとえばホウ素原子を含む可能性がある。あるいは、半導体層は、ドナー原子、たとえばリン原子をさらに含んでもよい。リン原子の場合、リン原子の濃度は、この場合最大でも5×1018cm-3、または最大でも1018cm-3となる場合がある。pドープ半導体層を有するゲート電極は、nチャネル・トランジスタ・セルを有する半導体デバイス、特にnドープ・ソース領域およびpドープ・ボディ領域を有する半導体デバイスに設けてもよい。
金属構造体は、半導体層と直接接触していてもよく、少なくとも第1の遷移金属を含む、第1の層を備えてもよい。
第1の遷移金属は、モリブデン、チタン、またはタンタルでもよい。第1の遷移金属に加えて、第1の層は窒素を含んでもよい。たとえば、Ti、TiN、Mo、MoN、TaNからの第1の層は、半導体層との結合を形成してもよく、また半導体層上に金属構造体を十分に接着してもよい。
金属構造体は、第1の層上に形成された充填構造体をさらに備えてもよく、この第1の層は、この充填構造体を半導体層から分離することができる。充填構造体は、第2の遷移金属を含んでもよい。第2の遷移金属は、少なくとも1つの主成分で第1の層と異なっていてもよい。たとえば、第2の遷移金属はタングステンでもよい。
一実施形態によれば、半導体デバイスは、炭化ケイ素体と接触している接触層を備えてもよい。この接触層を、第1の表面に直接形成してもよい。接触層の材料および第1の層の材料は、ゲート誘電体、第1の層、接触層、およびゲート電極を負荷電極から分離する層間絶縁膜の完全性を確保する適切なプロセスによって選択することができる。
一実施形態によれば、接触層は、チタン、窒化チタン、タンタル、および/または窒化タンタルを含んでもよく、金属構造体の第1の層は、チタン、窒化チタン、タンタル、および/または窒化タンタルを含む。接触層および第1の層は、同じ材料、または異なる材料から形成してもよい。接触層と第1の層の両方は、炭化ケイ素体と半導体層の両方の接触領域が露出している段階で形成してもよい。たとえば、第1の層および接触層は、第1の層の形成が接触層の形成についていかなる制約をももたらすことなく、また接触層の形成が第1の層の形成についていかなる制約をももたらすことなく、同時に形成することができる。
別の実施形態によれば、接触層は、ニッケル・アルミニウム(NiAl)を含んでもよく、第1の層は、モリブデンおよび/または窒化モリブデンを含んでもよい。接触層を形成する前に、第1の層を形成し、これを層間絶縁膜で被覆してもよい。ケイ化モリブデン(MoSi)の形成温度は、NiAlを形成するのに通常加えられる形成温度よりも高いので、NiAl接触層の形成は、その前の層間絶縁膜を損傷しないが、それというのも、層間絶縁膜は、ケイ素化によってこの層間絶縁膜に機械的ひずみをもたらす金属を覆わないからである。
一実施形態によれば、第1の層と充填構造体との間に第2の層を形成してもよく、この第2の層は、第3の遷移金属を含み、少なくとも1つの主成分において第1の層とは異なる。
実施形態によれば、炭化ケイ素体は、ドリフト構造体、ソース領域、およびボディ領域を備える。ドリフト構造体は、少なくともドリフト領域を備える。ドリフト領域は電圧維持層を形成し、このドリフト領域での垂直方向の延在およびドーパント濃度は、半導体デバイスがその公称阻止電圧能力に達するように選択される。ソース領域は、ボディ領域と第1の表面との間に配置されている。ボディ領域は、ドリフト構造体との第1のpn接合部、およびソース領域との第2のpn接合部を形成する。ボディ領域は、ゲート誘電体と接触している。
少なくとも1つの実施形態によれば、半導体デバイスは、炭化ケイ素体の第1の表面上にゲート構造体を備える。ゲート構造体およびゲート電極は、最大でも2つの接触領域を介して電気的に接続してもよい。接触領域は、第1の方向に沿って互いに離間している。この第1の方向は、第1の表面と平行に延びてもよい。たとえば、ゲート構造体は、ストライプ形状のゲート電極との、最大でも2つの接触領域を形成してもよい。この場合、ストライプ形状のゲート電極の接触領域は、第1の方向に沿って互いに離間しており、この第1の方向は、ストライプ形状のゲート電極の主要延在方向に垂直に延びてもよい。ゲート構造体は金属を含んでもよい。
低抵抗性の金属ゲート電極を用いる場合、半導体デバイスは、ストライプ形状のゲート電極の、2つの反対端の間でゲート電極へのいかなる追加の接触部をも必要としない場合がある。通常第1の表面上方に形成されるゲート・フィンガであって、ゲート構造体の長手方向の両端部までの距離でストライプ形状のゲート構造体と接触するゲート・フィンガを、省略してもよい。ゲート・フィンガを省略する場合、半導体デバイスの作用面積、および半導体デバイスの面積効率が増大する。
少なくとも1つの実施形態では、半導体デバイスは、炭化ケイ素体の第1の表面上および/または第1の表面で第1の負荷電極を備える。ゲート構造体は、第1の負荷電極と炭化ケイ素体の外側面との間に形成してもよい。炭化ケイ素体の外側面は、この炭化ケイ素体の垂直方向に沿って延びてもよい。
少なくとも1つの実施形態によれば、ゲート構造体は、ゲート・パッド、およびこのゲート・パッドに接続された(たとえば、電気的に接続された)少なくとも1つのゲート導電線を備えてもよい。ゲート導電線は、トランジスタ・セル・フィールドの回りに、閉じたフレームまたは不完全なフレームを形成してもよい。ゲート導電線は、ストライプ形状の複数のゲート電極の、それぞれ単一の電極と接触している。ゲート電極のそれぞれは、第1の方向に沿って長手方向の延在を有してもよい。ゲート電極は、第1の方向と直交する方向に互いに離間している。
少なくとも1つの実施形態では、半導体デバイスは、炭化ケイ素体に複数のゲート電極を備える。ゲート電極のそれぞれは、第1の方向に沿って長手方向の延在を有してもよい。さらに、ゲート電極は、第1の方向と直交する方向に互いに離間している。たとえば、ゲート電極は、第1の方向に対して垂直に延びる第2の方向に沿って、均等に分散してもよい。
本開示の実施形態はさらに、半導体デバイスを製造する方法に関する。本明細書に記載の半導体デバイスの少なくともいくつかの実施形態は、本明細書に記載の方法を用いて製造することができる。すなわち、半導体デバイスについて開示された各特徴は、この方法についても開示されており、その逆の場合も同じである。
この方法の少なくとも1つの実施形態では、炭化ケイ素基板が提供される。トレンチが、炭化ケイ素基板の主表面から炭化ケイ素基板内にまで延在する。トレンチの少なくとも1つの側壁上に、ゲート誘電体が形成される。トレンチ内のゲート電極は、金属構造体、およびこの金属構造体とゲート誘電体との間に半導体層を備える。
少なくとも1つの実施形態によれば、ゲート電極の形成は、半導体層上および主表面上に第1の金属層を堆積させることを含む。第1の金属層の各部分から、主表面上の接触層および金属構造体の第1の層が形成される。第1の金属層の形成が、前もって形成された接触層に悪影響を及ぼさないように、また、接触層の形成が、前もって形成された第1の金属層に悪影響を及ぼさないように、第1金属層および接触層を同時に形成してもよい。たとえば、第1の金属層および接触層は、Ti、TiN、Ta、TaNのうち少なくとも1つから形成してもよい。
少なくとも1つの実施形態によれば、接触層は、主表面上に形成してもよく、次いで(すなわち続いて)金属構造体の第1の層が半導体層上に形成される。接触層は、金属シリサイドおよび/またはニッケル・アルミニウムを含むことができる。接触層は、第1層に先立って形成されるので、この接触層の形成は、前もって形成された第1の層に悪影響を及ぼさない。たとえば、接触層は、ニッケルおよびアルミニウムを含んでもよく、第1の層は、主成分としてTi、TiN、Ta、またはTaNのうち少なくとも1つを含んでもよい。
少なくとも1つの実施形態によれば、金属構造体の第1の層が半導体層上に形成され、次いで(すなわち続いて)接触層が主表面上に形成される。第1の層は、ケイ素化温度がニッケル・アルミニウム接触層での処理温度を超える、遷移金属または遷移金属窒化物を含んでもよい。たとえば、第1の層はモリブデンまたは窒化モリブデンを含んでもよく、接触層はニッケルおよびアルミニウムを含んでもよい。モリブデンまたは窒化モリブデンから接触層を形成することで、炭化ケイ素体のドープ領域へのオーム接点としてのニッケル・アルミニウムの後形成が容易になる。
図1に示す半導体デバイス500は、IGBT(絶縁ゲート・バイポーラ・トランジスタ)、MCD(MOS制御ダイオード)、またはIGFET(絶縁ゲート型電界効果トランジスタ)、たとえば、MOSFET(金属酸化膜半導体FET)でもよい。
半導体デバイス500は、主成分であるケイ素および炭素を含む炭化ケイ素結晶に基づく、炭化ケイ素体100を備える。炭化ケイ素結晶は、水素および酸素のような不要な不純物、および/または意図された不純物、たとえばドーパント原子を含んでもよい。一例として、炭化ケイ素結晶のポリタイプは、2H、6H、15R、または4Hでもよい。
炭化ケイ素体100の表側の第1の表面101は、平面でもよく、または盛り上がっていてもよい。平らな第1の表面101に直交し、または盛り上がった第1の表面101の平均平面に直交する面法線104が、垂直方向を規定する。面法線104に直交する方向が、水平の横方向である。
半導体デバイス500は、第1の表面101から炭化ケイ素体100内に延在するトレンチ・ゲート構造体150を有する、トランジスタ・セルTCを備えてもよい。トレンチ・ゲート構造体150は、ゲート誘電体490、および導電性ゲート電極400を備える。
ゲート電極400は、炭化ケイ素体100から電気的に分離されている。たとえば、ゲート誘電体490は、ゲート電極400を炭化ケイ素体100から完全に分離することができる。他の実施形態によれば、材料構成が、ゲート誘電体490とは異なり、かつ/またはゲート誘電体490よりも厚い、1つまたは複数のさらなる誘電体構造体を、ゲート電極400と炭化ケイ素体100との間に形成してもよい。
ゲート電極400は、金属構造体450および半導体層420を備え、ここで、半導体層420は、金属構造体450とゲート誘電体490との間に配置される。半導体層420は、金属構造体450をゲート誘電体490から分離することができる。
半導体層420は、高濃度ドープの多結晶ケイ素でもよく、またはそれを含んでいてもよい。たとえば、半導体層420は、nドープまたはpドープの多結晶ケイ素を含む。半導体層420でのドーパント濃度は、少なくとも1019cm-3でもよい。金属構造体450は、均一な構造体でもよく、または様々な材料の1つまたは複数の副層を備えてもよい。
図2には、ソース領域110、ボディ領域120、およびトレンチ・ゲート構造体150を備えるトランジスタ・セルTCを有する半導体デバイス500が示してある。トレンチ・ゲート構造体150は、第1の表面101から炭化ケイ素体100内に延在する、ゲート電極400を備える。ソース領域110およびボディ領域120は、炭化ケイ素体100の一部分から形成される半導体メサ170内に形成される。第1の負荷電極310は、ソース領域110およびボディ領域120に電気的に接続される。層間絶縁膜210は、第1の負荷電極310とゲート電極400を電気的に分離する。
ソース領域110およびボディ領域120は、トレンチ・ゲート構造体150の第1の側壁に直接隣接している。ソース領域110は、ボディ領域120と第1の表面101との間に存在している。ボディ領域120は、ソース領域110をドリフト構造体130から分離する。ドリフト構造体130は、ボディ領域120と炭化ケイ素体100の第2の表面との間に形成され、この第2の表面は、第1の表面101の反対側にある。ボディ領域120およびドリフト構造体130は、第1のpn接合部pn1を形成する。ボディ領域120とソース領域110は、第2のpn接合部pn2を形成する。
トレンチ・ゲート構造体150の垂直方向の延在は、0.3μm~5μmの範囲内、たとえば0.5μm~2μmの範囲内でもよい。トレンチ・ゲート構造体150の側壁は、第1の表面101に垂直でもよく、または第1の表面101への距離が増すにつれて先細になっていてもよい。第1の表面101の平面内のトレンチ・ゲート構造体150の幅w1は、500nm~5μmの範囲内、たとえば1μm~3μmの範囲内でもよい。
トレンチ・ゲート構造体150は、ゲート電極400を少なくともボディ領域120から分離するゲート誘電体490を備える。ゲート誘電体490は、半導体誘電体、たとえば熱成長もしくは堆積した半導体酸化物、たとえば酸化ケイ素、半導体窒化物、たとえば堆積もしくは熱成長した窒化ケイ素、および/または半導体酸窒化物、たとえば酸窒化ケイ素を含んでもよく、またはこれらから構成されてもよい。ゲート誘電体490の厚さおよび材料構成は、トランジスタ・セルTCについて適切な閾値電圧を実現するように選択してもよい。エンハンスメント型のnチャネル・トランジスタ・セルについての一実施形態によれば、1.0V~8Vの範囲の適切な閾値電圧を達成するようにゲート誘電体490の厚さおよび材料構成を選択してもよい。
ゲート電極400は、ゲート誘電体490と接触している半導体層420を備えてもよい。半導体層420は共形層でもよく、その厚さth1は、50nm~500nmの範囲内、たとえば100nm~300nmでもよい。
金属構造体450は、半導体層420と接触している第1の層451、この第1の層451と接触している第2の層452、および充填構造体455を備えてもよい。第1の層451および第2の層452のうち少なくとも一方は共形層でもよい。第1の層451と第2の層452の合計の厚さは、50nm~500nmの範囲内、たとえば100nm~300nmの範囲内でもよい。
第1の層451は、チタン、タンタル、またはモリブデンなど第1の遷移金属を含んでもよい。たとえば、第1の層451は、唯一の主成分として遷移金属を含んでもよい。一実施形態によれば、第1の層451は、第2の主成分として窒素を含んでもよい。第1の負荷電極310は、ソース領域110およびボディ領域120と直接接触している、接触層311を備えてもよい。接触層311は、第1の層451と同じ材料構成、または別の材料構成を有してもよい。一実施形態によれば、接触層311は、ニッケル・アルミニウムを含んでもよい。
図3は、中央領域610、および、水平面内でこの中央領域610を囲繞し、炭化ケイ素体100の表側での第1の表面と、反対側の第2の表面102とを接続する外側の側面103からこの中央領域610を分離する、エッジ領域690を備える、半導体デバイス500の平面図である。中央領域610は、機能性トランジスタ・セルTCを備える。エッジ領域690は、機能性トランジスタ・セルTCを欠いている。
ゲート電極400は、表側から炭化ケイ素体100内に延在する。ゲート電極400は、水平な第1の方向191に沿った水平な長手方向の延在l1と、水平な第2の方向192に沿った幅w1とを有してもよく、長さl1は、幅w1の少なくとも10倍でもよい。ゲート電極400は、中央領域610の少なくとも一方の側から反対側まで延在してもよく、エッジ領域690内に延在してもよい。第2の方向192に沿って、ストライプ形状の複数のゲート電極400を配置してもよい。
炭化ケイ素体100の表側では、ゲート構造体330がゲート電極400と接触している。ゲート構造体330は、単一の接触領域に沿って、または2つの接触領域に沿って各ゲート電極400に電気的に接続されていてもよく、ここで2つの接触領域は、第1の方向191に沿って互いに離間している。
図3の実施形態では、ゲート構造体330は、エッジ領域690内に完全に、または少なくとも部分的に形成できる2つのゲート導電線336を備える。中央領域610は、完全な中央領域610を使用して、アクティブなトランジスタ・セルを形成することができるように、ゲート構造体330を欠いていてもよい。ゲート導電線336は、中央領域610の周りに、閉じたフレームまたは不完全なフレームを形成してもよい。
ゲート構造体330はさらに、ゲート・パッド334を備えてもよい。ゲート導電線336は、比較的細い金属線でもよい。ゲート・パッド334は、比較的厚いメタライゼーションを備えてもよい。ゲート・パッド334は、ゲート導電線336と直接接続されてもよく、または抵抗器を介して、たとえば電気抵抗値が数オームの多結晶ケイ素構造体を介して接続されてもよい。
第1の負荷電極310は、比較的厚いメタライゼーションを備えてもよい。ゲート・パッド334および第1の負荷電極310用のメタライゼーションは、同じ材料を基にしてもよく(たとえば、同じ材料を含んでもよく、または同じ材料から構成されてもよい)、また厚さが同じでもよい。第1の負荷電極310は、ゲート導電線336と垂直方向にオーバラップしてもよく、ここで層間絶縁膜が、第1の負荷電極310とゲート導電線336を電気的に分離してもよい。第1の負荷電極310およびゲート・パッド334は、第1の負荷電極310およびゲート・パッド334を半導体デバイス500の端子と接続する、ボンド・ワイヤまたは金属クリップ用のランディング・パッドを形成してもよい。
図4Aおよび図4Bには、4H-SiCからの炭化ケイ素体100を有する半導体デバイス500が示してある。<0001>結晶軸は、面法線104に対して軸外し角度αだけ傾斜している。<11-20>結晶軸は、水平面に対して軸外し角度αだけ傾斜している。<1-100>結晶軸は、断面に直交している。軸外し角度αは、2°~8°の範囲内でもよい。たとえば、軸外し角度αは4°でもよい。
一実施形態によれば、第1の表面101は、鋸歯状でもよく、第1および第2の表面部分を含んでもよい。第1の表面部分は、互いに位置がずれていてもよく、水平面に対して軸外し角度αだけ傾斜していてもよい。第2の表面部分は、第1の表面部分に対して傾斜しており、鋸歯状の第1の表面101の断面線が鋸歯状の線に近似するようにこの第1の表面部分を接続する。
炭化ケイ素体100の裏側では、反対側の第2の表面102が、第1の表面101と平行に延在してもよい。第1の表面101の面法線104は垂直方向を画定し、第1の表面101と平行な方向は水平方向である。
トランジスタ・セルTCが、第1の表面101に沿って表側に形成される。ドリフト構造体130が、裏側での第2の表面102からトランジスタ・セルTCを分離する。ドリフト構造体130は、第2の表面102に直接隣接する高濃度ドープの接触構造体139、およびトランジスタ・セルTCと高濃度ドープの接触構造体139との間の低濃度ドープのドリフト領域131を備えてもよい。
高濃度ドープの接触構造体139は、結晶インゴットから得られる基板部分でもよく、またはこの基板部分を備えてもよく、第2の表面102に直接隣接する第2の負荷電極320とのオーム接点を形成する。接触構造体139での平均ドーパント濃度が十分に高くて、第2の負荷電極320とのオーム接触が確実になる。半導体デバイス500が、MCDまたはIGFETであるか、またはそれらを含む場合、接触構造体139の導電型はドリフト領域131と同じである。半導体デバイス500がIGBTである場合、接触構造体139は、ドリフト領域131の相補的な導電型を有するか、または両方の導電型の領域を含む。
ドリフト領域131は、エピタキシーによって接触構造体139上に成長させた層に形成してもよい。ドリフト領域131での平均正味ドーパント濃度は、1E15cm-3~5E16cm-3の範囲でもよい。ドリフト領域131の垂直方向の延在は、半導体デバイス500の公称阻止能力に関係している。ドリフト領域131の垂直方向の延在は、約1μm~数10μmの範囲でもよい。ドリフト構造体130は、さらなるドープ領域、たとえばフィールド・ストップ領域、バリア領域、および/もしくはドリフト領域131の導電型の電流拡散領域、またはカウンタドープ領域を備えてもよい。
ドリフト領域131は、接触構造体139に直接隣接してもよく、またはドリフト領域131と単極性ホモ接合を形成するバッファ層を、ドリフト領域131と接触構造体139との間に挟んでもよく、ここで一例として、バッファ層の垂直方向の延在は、ほぼ1μm~10μmの範囲でもよく、バッファ層内の平均ドーパント濃度は、3E17cm-3~1E18cm-3の範囲でもよい。バッファ層は、炭化ケイ素体100での機械的応力を緩和することができ、かつ/またはドリフト構造体130での電界を整形するのに寄与することができる。
トランジスタ・セルTCは、第1の表面101から炭化ケイ素体100内に延在するトレンチ・ゲート構造体150に沿って形成される。炭化ケイ素体100は、隣接するトレンチ・ゲート構造体150の間に半導体メサ170を備える。
水平の第1の方向191に沿ったトレンチ・ゲート構造体150の長手方向の延在は、この第1の方向に直交する水平の第2の方向192に沿った幅よりも広い。トレンチ・ゲート構造体150は、トランジスタ・セル領域の片側から反対側に延在する長いストライプでもよく、ここで、トレンチ・ゲート構造体150の長さは数ミリメートルまででもよい。他の実施形態によれば、トランジスタ・セル領域の片側から反対側に延在する線に沿って、分離された複数のトレンチ・ゲート構造体150を形成してもよく、またはトレンチ・ゲート構造体150は、グリッドのメッシュに形成される半導体メサ170とともにグリッドを形成してもよい。
底部においては、トレンチ・ゲート構造体150は丸みを帯びていてもよく、ここで、曲率の半径は、以下に説明されるゲート誘電体490の厚さの少なくとも2倍でもよい。
トレンチ・ゲート構造体150は、等間隔で離間してもよく、幅が等しくてよく、かつ/または規則的なパターンを形成してもよい。トレンチ・ゲート構造体150の中心間距離(ピッチとも呼ぶ)は、1μm~10μmの範囲内、たとえば2μm~5μmでもよい。トレンチ・ゲート構造体150の垂直方向の延在は、0.3μm~5μmの範囲内、たとえば0.5μm~2μmの範囲内でもよい。
トレンチ・ゲート構造体150は、第1の表面101までの距離が増すにつれて先細になってもよい。たとえば、対向する長手方向の2つのメサ側壁171、172のうち少なくとも第1のメサ側壁171が、電荷担体移動度の高い主結晶面、たとえば{11-20}結晶面によって形成されるように、垂直方向に対するトレンチ・ゲート構造体150のテーパ角度は、軸外し角度αに等しくてもよく、または軸外し角度αから多くとも±1度だけずれていてもよい。第1のメサ側壁171とは反対側の第2のメサ側壁172は、軸外し角度αの2倍、たとえば4度以上、たとえば約8度だけ、主結晶面に対して傾斜していてもよい。第1および第2のメサ側壁171、172は、中間の半導体メサ170の長手方向の両側にあり、隣接する2つの異なるトレンチ・ゲート構造体150に直接隣接している。
本明細書に記載の通り、トレンチ・ゲート構造体150は、導電性ゲート電極400、およびゲート誘電体490を備える。図4Bに示す例では、ゲート電極400は、充填構造体455および第1の層451を有する金属構造体450を備える。充填構造体455を電気的に接続できるように、この充填構造体455は、第1の表面101において自由にアクセス可能でもよい。ゲート電極400は、半導体層420および第1の層451をさらに備え、この第1の層451は、充填構造体455から半導体層420を分離し、具体的には完全に分離する。金属構造体450は、第1の層451と半導体層420との間に配置してもよい第2の層452(図4Bには図示せず)を備えてもよい。さらに、ゲート誘電体490は、半導体層420と炭化ケイ素体100との間に配置される。
ゲート誘電体490、半導体層420、第1の層451、および/または(該当する場合)第2の層452は、トレンチ側壁およびトレンチ底部を完全に覆ってもよい。
半導体メサ170は、表側に配置されたソース領域110を備える。ソース領域110は、第1の表面101に直接隣接していてもよく、それぞれの半導体メサ170の長手方向の第1のメサ側壁171と第2のメサ側壁172の両方に直接隣接していてもよい。
半導体メサ170は、ドリフト構造体130からソース領域110を分離する、ボディ領域120をさらに備える。ボディ領域120は、ドリフト構造体130との第1のpn接合部pn1、およびソース領域110との第2のpn接合部pn2を形成する。ボディ領域120は、第1のメサ側壁171に直接隣接している。ボディ領域120の垂直方向の延在は、トランジスタ・セルTCのチャネル長に対応し、0.2μm~1.5μmの範囲内でもよい。ソース領域110およびボディ領域120は、表側で第1の負荷電極310に電気的に接続されている。
第1の負荷電極310は、第1の負荷端子L1を形成してもよく、または第1の負荷端子L1に電気的に接続もしくは結合してもよく、この端子は、MCDのアノード端子、IGFETのソース端子、またはIGBTのエミッタ端子でもよい。第2の負荷電極320は、第2の負荷端子L2を形成してもよく、または第2の負荷端子L2に電気的に接続または結合してもよく、この端子は、MCDのカソード端子、IGFETのドレイン端子、またはIGBTのコレクタ端子でもよい。
遮蔽領域160は、ボディ領域120と第2のメサ側壁172とを分離することができる。第2のメサ側壁172に沿った遮蔽領域160でのドーパント濃度は、第1のメサ側壁171に沿ったボディ領域120でのドーパント濃度より高くてもよく、たとえば少なくとも10倍の高さでもよい。
一実施形態によれば、トランジスタ・セルTCは、pドープのボディ領域120、nドープのソース領域110、およびnドープのドリフト領域131を有するnチャネルFETセルである。別の実施形態によれば、トランジスタ・セルTCは、nドープのボディ領域120、pドープのソース領域110、およびpドープのドリフト領域131を有するpチャネルFETセルである。
ゲート誘電体490は、ボディ領域120の各部分をゲート電極400に容量結合する。ゲート電極400での電位が、半導体デバイス500の閾値電圧を上回るか、または下回ると、ボディ領域120内の少数電荷キャリアが、ゲート誘電体490に沿って反転チャネルを形成するという効果を電界がもたらし、ここで、この反転チャネルは、ソース領域110とドリフト構造体130を接続し、それによって半導体デバイス500をオンにする。オン状態では、負荷電流は、第1の負荷電極310と第2の負荷電極320との間の第1のメサ側壁171にほぼ沿って、炭化ケイ素体100を通って流れる。同時に、遮蔽領域160でのドーパント濃度が相対的に高いことによって、第2のメサ側壁172に沿った反転チャネルの形成を抑制することができる。
図5には、第1のメサ側壁171に沿って形成される第1のソース部分111、および第2のメサ側壁172に沿って形成される第2のソース部分112を含む、ソース領域110が示してある。第1の負荷電極310を介して、第1のソース部分111と第2のソース部分112を電気的に接続してもよい。あるいは、またはさらに、第1のソース部分111から第2のソース部分112へと、第1の表面101に沿って炭化ケイ素体100内に延在する第3のソース部分を介して、第1のソース部分111と第2のソース部分112を接続してもよい。
ドリフト構造体130は、ボディ領域120に直接隣接し、隣接する各遮蔽領域160の間に延在してもよい、電流拡散領域137を備えてもよい。
第1の負荷電極310は、接触層311および主金属構造体315を備えてもよい。接触層311は、遷移金属または遷移金属窒化物、たとえばTi、TiN、Ta、TaN、Mo、MoN、または金属アルミニウム化合物、たとえばケイ化物部分の有無にかかわらずNiAlを含んでもよい。
図6に示してある半導体デバイス500は、トランジスタ・セルTCを有するSiC TMOSFETでもよい。トランジスタ・セルTCのトレンチ・ゲート構造体150は、第1の表面101から炭化ケイ素体100内に延在する。トレンチ・ゲート構造体150の側壁は、第1の表面101に垂直でもよい。隣接する各トレンチ・ゲート構造体150の間の半導体メサは、ボディ領域120およびソース領域110を備え、このソース領域110は、第1の表面101に沿って形成してもよい。ボディ領域120は、ドリフト構造体130との第1のpn接合部pn1、およびソース領域110との第2のpn接合部pn2を形成する。半導体メサ170の側壁は、炭化ケイ素結晶の主結晶面でもよい。
第1の負荷電極310は、隣接する各トレンチ・ゲート構造体150の間を、第1の負荷電極310から層間絶縁膜210の開口部を通って半導体メサへと延在してもよい、トレンチ接触部316を備えてもよい。第1の負荷電極310は、ソース領域110およびボディ領域120に電気的に接続される。
トランジスタ・セルTCは、ボディ領域120の導電型を有する遮蔽領域160を備えてもよい。遮蔽領域160は、ボディ領域120よりもドーパント濃度が高くてもよく、トレンチ・ゲート構造体150から横方向に離間していてもよい。隣接する2つの遮蔽領域160は、2つの遮蔽領域160の間に形成されるトレンチ・ゲート構造体150を、後側の電極(これは、第2の負荷電極320に対応してもよい)に印加される電位から遮蔽することができる。遮蔽領域160の垂直方向の延在は、トレンチ・ゲート構造体150の垂直方向の延在よりも大きくてよい。たとえば、遮蔽領域160での局所的な最大ドーパント濃度は、トレンチ・ゲート構造体150の底部までよりも、第1の表面101までの距離が長くてもよい。
図1~図5を参照して先に述べたように、トレンチ・ゲート構造体150はゲート電極400を備えてもよい。図2~図5を参照して述べたように、第1の負荷電極310は接触層311を備えてもよい。
図7の半導体デバイス500は、ほぼV字形の垂直断面領域を有するシャロー・トレンチ内に形成されるトレンチ・ゲート構造体150を備える、SiC-TMOSFETでもよい。トレンチ・ゲート構造体150は、半導体層420を含むゲート電極400を備えてもよく、この半導体層420は、トレンチ・ゲート構造体150の側壁および底部に沿って、ほぼ均一な厚さで延在してもよい。ゲート電極400は、シャロー・トレンチを充填できる金属構造体450を備える。
隣接する各トレンチ・ゲート構造体150の間の半導体メサ170は、第1の表面101に沿って形成されるソース領域110、およびこのソース領域110をドリフト構造体130から分離するボディ領域120を備える。ボディ領域120は、高濃度ドープの接触領域149を含んでもよく、この接触領域149での平均ドーパント濃度は、接触領域149の外側のボディ領域120の主領域121での濃度よりも著しく高い。半導体メサ170の側壁は、たとえば(0-33-8)結晶面でもよい。トレンチ・ゲート構造体150および第1の負荷電極310の詳細については、図1~図6での説明を参照する。
図8には、半導体デバイスを製造する方法の例示的な実施形態が示してある。この方法は、炭化ケイ素基板を設けること(902)を含み、ここでトレンチが、炭化ケイ素基板の主表面から炭化ケイ素基板内にまで延在し、ゲート誘電体が、このトレンチの少なくとも1つの側壁上に形成される。たとえば、ゲート誘電体は、トレンチのすべての側壁上およびトレンチの底部に形成される。ゲート電極がトレンチ内に形成され(904)、ここで、このゲート電極は、金属構造体、およびこの金属構造体とゲート誘電体との間の半導体層を備えてもよい。
図9A~図13Hには、炭化ケイ素デバイスを製造する方法の例示的な実施形態が示してある。炭化ケイ素デバイスは、特に図1、図2、図3、図4A、図4B、図5、図6、および図7の実施形態に関連して、本明細書に記載の半導体デバイスでもよい。それとは逆に、本明細書に記載の半導体デバイスは、図9A~図13Hの実施形態に関連して説明する方法で製造してもよい。
図9A~図13Hでは、簡略化するためにドープ領域を示していない。半導体基板700はドープ領域を含んでもよく、ここで、ドープ領域は、先に述べたようにドリフト構造体、ソース領域、ボディ領域、遮蔽領域、および/または電流拡散領域のうち少なくとも1つを形成してもよい。ゲート・トレンチを形成する前から、表側に主金属層を形成する前までの任意の段階で、このドープ領域を形成してもよい。
図9A~図9Hは、炭化ケイ素デバイスを製造する方法を示し、ここで、ゲート金属構造体450の第1の層451、および第1の負荷電極の接触層311が、同じ層の異なる部分または層スタックとして同時に形成される。
ゲート・トレンチ750が、炭化ケイ素基板700内に形成される。たとえば、炭化ケイ素基板700の主表面701上に形成されるトレンチ・エッチング・マスクは、反応性イオン・エッチングなどの異方性エッチング・プロセスをマスクしてもよい。エッチング・プロセスは、主表面701で露出している炭化ケイ素基板700の各部分を選択的に除去し、したがってゲート・トレンチ750を形成してもよい。エッチング・プロセスの後に、トレンチ・エッチング・マスクを除去してもよい。
トレンチ・エッチング・マスクを除去する前後に、炭化ケイ素基板700の露出部分、および/またはゲート・トレンチ750にゲート誘電体層491を形成してもよい。ゲート誘電体層491の形成は、酸素および/または窒素を含有する雰囲気中での熱処理を含んでもよい。あるいは、またはさらに、ゲート誘電体層491の形成は、たとえばCVD(化学気相成長)による誘電体材料の堆積を含んでもよい。
図9Aには、主表面701から炭化ケイ素基板700内に延在するゲート・トレンチ750を有する、炭化ケイ素基板700が示してある。この炭化ケイ素基板700は、ベース基板上に形成される1つまたは複数のエピタキシー層を含んでもよく、このベース基板は、結晶インゴットからスライスしてもよい。この1つまたは複数のエピタキシー層を、均一にドープしてもよい。たとえば、この1つまたは複数のエピタキシー層は、窒素原子を含んでもよい。炭化ケイ素基板700はまた、不要な不純物、たとえば酸素を含んでもよい。
ゲート・トレンチ750は、断面に直交する水平で長手方向の延在を有するストライプ形状でもよい。ゲート・トレンチ750は、互いに平行に延びていてもよく、また等間隔に離間していてもよい。
一例として、ゲート誘電体層491は、熱成長酸化ケイ素、熱成長窒化ケイ素、熱成長ケイ素酸化窒化物、および堆積酸化ケイ素、たとえばCVD酸化ケイ素のうち少なくとも1つを含んでもよい。ゲート誘電体層491は、ほぼ均一な厚さでゲート・トレンチ750を覆ってもよく、主表面701をカバーしてもよい。
たとえばCVDなどの堆積プロセスを使用して、ゲート・トレンチ750を充填するnドープおよび/またはpドープの多結晶半導体材料425を堆積させてもよい(図9B参照)。ゲート・トレンチ750の外側に堆積される多結晶半導体材料425の各部分は、たとえば、ウェット・エッチング・プロセスまたはCMPによって除去してもよい。誘電体層を堆積させ、フォトリソグラフィによってパターン付けして、第1の層間絶縁膜部分202を形成してもよい。
図9Bには、多結晶半導体材料425が、ゲート・トレンチ750を充填する方法ステップが示してある。第1の層間絶縁膜部分202は、ゲート・トレンチ750内の多結晶半導体材料425を覆い、主表面701の接触領域702を露出させる。図9Aのゲート誘電体層491の残りの部分は、ゲート・トレンチ750を覆い、炭化ケイ素基板700から多結晶半導体材料425を分離するゲート誘電体490を形成する。
第1のレジスト層を堆積させ、フォトリソグラフィによってパターン付けして、ゲート・トレンチ750の中央部分の垂直投影部に第1のレジスト・マスク開口部615を有する、第1のレジスト・マスク611を形成してもよい。時間制御異方性エッチング・プロセスは、第1のレジスト・マスク開口部615の垂直投影部でのゲート・トレンチ750内の、第1の層間絶縁膜部分202および多結晶半導体材料425の各部分を除去することができる。
図9Cには、第1のレジスト・マスク開口部615の真下のゲート・トレンチ750での多結晶半導体材料425内に延在する、補助トレンチ755が示してある。補助トレンチ755は、第1のレジスト・マスク611を使用する、先に述べた半導体材料425の時間制御異方性エッチング・プロセスの結果でもよい。前記エッチング・プロセスによって除去されなかった多結晶半導体材料425の残りの部分は、半導体層420、たとえば本明細書に記載の半導体層420を形成する。
一実施形態によれば、補助トレンチ755の形成は、接触領域702を露出させるプロセスと組み合わせてもよい。たとえば、ゲート・トレンチ750の中央部分の垂直投影部での第1の開口部、および接触領域702の垂直投影部での第2の開口部を含む、単一のレジスト・マスクを形成してもよい。
第1のレジスト・マスク611を除去してもよい。第1の金属層810は、金属含有材料を堆積させることによって、たとえばスパッタリングおよび/または気相成長によって形成してもよい。第1の金属層810上に金属充填層815を堆積させてもよい。
図9Dによれば、第1の金属層810は、接触領域702での炭化ケイ素基板700、およびゲート・トレンチ750での半導体層420と直接接触している。しかし、少なくとも、第1の金属層810と炭化ケイ素基板700および/または半導体層420との間の位置に、さらなる層を配置することのできる実施形態が存在してもよい。第1の金属層810は、第1の層間絶縁膜部分202の露出部分をさらに覆ってもよい。第1の金属層810は、チタン、窒化チタン、タンタル、および窒化タンタルなど少なくとも1つの遷移金属または遷移金属窒化物を含んでもよい。一例として、第1の金属層810の厚さは、5nm~50nmの範囲内でもよい。金属充填層815は、タングステンもしくは銅のような少なくとも1つの遷移金属、および/または、たとえばアルミニウムなど少なくとも1つのポスト遷移金属を含んでもよい。
第1の層間絶縁膜部分202の上に堆積される金属充填層815の一部分は、たとえば時間制御および/またはエンドポイント制御のウェット・エッチングなどの凹部によって除去してもよく、この凹部は、第1の層間絶縁膜部分202上の第1の金属層810の露出とともに、またはその露出の後に停止してもよい。
図9Eには、金属充填層815の残りの部分816を除いて、図9Dの金属充填層815の一部分が除去されている方法のステップが示してある。さらなる方法ステップでは、第1の金属層810の露出部分を除去し、第2の誘電体層203を堆積させてもよく、ここで、この第2の誘電体層203は、ほぼ均一な厚さの共形層でもよい。
図9Fに示す方法ステップでは、図9Eの第1の金属層810の第1の部分から、接触領域702内に接触層311が形成される。図9Eの第1の金属層810の第2の部分は、ゲート・トレンチ750内に金属の第1の層451を形成する。図9Eの金属充填層815の残りの部分816は、ゲート・トレンチ750内に金属充填構造体455を形成する。第1の層451および充填構造体455が、金属構造体450を形成する。フォトリソグラフィによって、第2の誘電体層203をパターン付けしてもよい。
図9Gに示す方法ステップでは、第1の層間絶縁膜部分202、および第2の誘電体層203の残りの部分から、層間絶縁膜210が形成される。層間絶縁膜210は、CVD酸化ケイ素および/または他の誘電体材料、たとえばドープ・ガラスもしくは非ドープ・ガラスを含んでもよい。主金属層を表側に堆積させ、フォトリソグラフィによってパターン付けしてもよい(図9Gには図示せず)。
図9Hに示す方法ステップでは、主金属層の残りの部分から主金属構造体315が形成される。接触層311および主金属構造体315は、第1の負荷電極310の少なくとも一部分を形成してもよい。
図9A~図9Hの方法は、同じ金属層を使用して、第1の負荷電極310の接触層311、およびゲート金属構造体450の第1の層451を形成する。このプロセスは、第1の層451を後に形成することによって生じる、それまでに形成された接触層311の劣化を回避し、接触層311を後に形成することによって生じる、第1の層451の劣化を回避する。
図9A~図9Hの実施形態による方法は、図9Cの第1のレジスト・マスク開口部615をゲート・トレンチ750に位置合せすることを含む。以下の実施形態は、ゲート電極の金属構造体450を自己整合的に形成できるように、ゲート・トレンチ750を均一な厚さで覆う共形多結晶ケイ素層の堆積を含む。
図10A~図10Hについて説明する方法は、主表面701の接触領域702内の接触層311と、ゲート・トレンチ750内の金属の第1の層451とを同時に形成する前での、接触領域702内の事前ケイ素化を含む。
図9Aを参照して説明したように、ゲート・トレンチ750およびゲート誘電体層491を形成してもよい。フォトリソグラフィによって、共形のnドープまたはpドープの多結晶半導体層を堆積およびパターン付けして、ゲート・トレンチ750内に半導体層420を形成してもよい。
図10Aには、実質的に均一な厚さで各ゲート・トレンチ750を覆う半導体層420が示してあり、ここで、この半導体層420の厚さth1は、ゲート・トレンチ750の横幅w1の半分よりも少ない。ゲート誘電体490が、炭化ケイ素基板700から半導体層420を分離する。主表面701の接触領域702が露出される。
半導体層420の露出面(たとえば、ゲート誘電体490と反対側の表面)に沿って、半導体層420を酸化して、半導体層420上に犠牲酸化物層471を形成してもよい。ゲート・トレンチ750を充填する、第1の犠牲材料472を堆積させてもよい。ゲート・トレンチ750の外側の第1の犠牲材料472の各部分は、たとえばウェット・エッチングによって除去してもよい。第2の犠牲材料475を堆積させ、フォトリソグラフィによってパターン付けして、接触領域702を露出させてもよい。
図10Bには、ゲート・トレンチ750を充填する第1の犠牲材料472が示してある。一例として、第1の犠牲材料472は、多結晶ケイ素でもよい。犠牲酸化物層471は、半導体層420から第1の犠牲材料472を分離する。第2の犠牲材料475は、第1の犠牲材料472を覆い、接触領域702を露出させる。第2の犠牲材料475は、誘電材料、たとえば窒化ケイ素でもよい。
露出した接触領域702の事前ケイ素化は、たとえば、少なくとも700℃の温度での加熱処理を含んでもよい。第2の犠牲材料475の残りの部分を除去して、ゲート・トレンチ750内の第1の犠牲材料472を露出させてもよい。
図10Cには、接触領域702での炭化ケイ素基板700の事前ケイ素化部分711が示してある。たとえば、犠牲酸化物層471の材料に対して選択的なウェット・エッチングによって、第1の犠牲材料472を除去してもよい。たとえば、半導体層420の材料に対して選択的なウェット・エッチング・プロセスによって、犠牲酸化物層471を除去してもよい。
さらなる方法ステップでは、第1の金属層および金属充填層を堆積させ、凹ませてもよい(図10には図示せず)。このために、第1の金属層および/または金属充填層を、少なくとも部分的に除去してもよい。これは、半導体層420の露出、または半導体層420の水平部分における第1の金属層の各部分の露出とともに停止する、ウェット・エッチング・プロセスおよび/またはCMPを含んでもよい。これによって、金属構造体450を形成してもよい。
図10Dでのゲート・トレンチ750は、第1の層451を有する金属構造体450、および充填構造体455を備える。第1の層451は、第1の金属層の第1の部分から形成される。充填構造体455は、金属充填層の残りの部分から形成される。接触領域702では、主表面701の事前ケイ素化部分711に接触層311が形成される。
たとえば、時間制御ウェット・エッチング・プロセスによって、接触領域702と金属構造体450との間の半導体層420の露出部分を部分的に除去してもよい。
図10Eの例示的な実施形態に示すように、半導体層420の一部分を部分的に除去するステップは、半導体層420の上縁が主表面701と実質的に同一平面上にあるときに停止してもよい。その後、除去プロセスによって形成された凹部に、層間絶縁膜層205を堆積させてもよい。
図10Fには、接触領域702と金属構造体450との間の空間を充填する、層間絶縁膜層205が示してある。層間絶縁膜層205をフォトリソグラフィによってパターン付けして、接触層311を露出させてもよい。
図10Gには、金属構造体450を覆う層間絶縁膜210を形成する、層間絶縁膜層205の残留物が示してある。主金属層を表側に堆積させ、フォトリソグラフィによってパターン付けしてもよい(図10Gには図示せず)。
図10Hには、接触層311、および主金属層の一部分から形成される主金属構造体315を備える、第1の負荷電極310が示してある。金属構造体450、半導体層420、ゲート誘電体490、接触層311、主金属構造体315、および層間絶縁膜210の詳細および材料に関しては、図9A~図9Hの説明を参照する。
図11A~図11Fは、ホット注入と組み合わせてもよい方法を示す。ホット注入は、高温でのイオン注入を含み、この注入によって生じる結晶欠陥の密度が、温度の上昇につれて減少するという効果を利用する。高温注入によって、SiCがアモルファス化する前に、注入量の増加が可能になる。
図11Aには、図9Aを参照して説明するように、ゲート誘電体層491上に形成される共形の多結晶層421が示してある。たとえばスパッタリングによって、多結晶層421上に第1の補助金属層820を形成してもよい。
図11Bには、遷移金属または遷移金属窒化物、たとえばチタン、窒化チタン、タンタル、または窒化タンタルを含んでもよい、第1の補助金属層820が示してある。第1の補助金属層820は、ほぼ均一な厚さで多結晶層421を覆ってもよい。
図11Cには、たとえばCVDによって堆積させてもよく、ゲート・トレンチ750、具体的には多結晶層421および第1の補助金属層820によって充填されていないゲート・トレンチ750の各部分を充填する、金属充填層815が示してある。金属充填層815は、たとえば、タングステンを含んでもよく、またはタングステンから構成されてもよい。ゲート・トレンチ750の外側の、第1の補助金属層820および多結晶層421の、金属充填層815の各部分を除去してもよい。この除去は、ゲート誘電体層491上で停止してもよい1つまたは複数のウェット・エッチング・プロセスおよび/またはCMPを含んでもよい。
図11Dには、ゲート電極400を有するトレンチ・ゲート構造体150が示してあり、このゲート電極400は、図11Cの金属充填層815の一部分から形成される金属構造体450、図11Cの第1の補助金属層の一部分から形成される第1の層451、および図11Cの多結晶層421の一部分から形成される半導体層420を含む。
図11Eには、たとえばCVDによって主表面701上に形成される層間絶縁膜層205が示してある。この層間絶縁膜層205は、接触領域702を露出させるようにパターン付けしてもよい(図11F参照)。接触金属層830は、露出した接触領域702、または露出した接触領域702と層間絶縁膜210の両方に形成または堆積してもよい。接触金属層830に主金属層を形成してもよい。
あるいは、層間絶縁膜層205をパターン付けするレジスト・マスクを除去する前に、接触金属層830を堆積させてもよい。
図11Fには、この図11Eの層間絶縁膜層205の残りの部分から形成される層間絶縁膜210が示してある。主表面701の接触領域702での接触金属層830の一部分が、第1の負荷電極310の接触層311を形成する。接触金属層830は、遷移金属または遷移金属窒化物、たとえば、チタン、窒化チタン、タンタル、または窒化タンタルを含んでもよい。主金属層の残りの部分が、第1の負荷電極310の主金属構造体315を形成する。主金属構造体315は、アルミニウム銅合金を含んでもよい。
図11A~図11Fによる接触層311の形成は、第1の層451にとって有効なケイ素化プロセスをもたらす可能性のあるいかなる高温ステップをも含まない。金属構造体450の体積膨張と、金属構造体450で起こり得る体積膨張の結果として生じることがある層間絶縁膜210の亀裂とを回避することができる。
以下の方法は、ニッケル・アルミニウムを使用して、負荷電極と炭化ケイ素基板との間に接触層を形成する。ニッケル・アルミニウムは、炭化ケイ素体でのn型領域およびp型領域への、抵抗が低く信頼性の高い接触部を実現する。ニッケル・アルミニウム接触部の形成には、通常、高温プロセスを必要とする。
図12A~図12Gには、この方法の一実施形態が示してあり、ここで、ニッケル・アルミニウム接触部を形成するのに使用される可能性のある高温プロセスが金属ゲートに影響を及ぼさないように、金属ゲートに先立ってニッケル・アルミニウム接触部が形成される。
図12Aには、主表面701から炭化ケイ素基板700内に延在するゲート・トレンチ750、この主表面701上にあってゲート・トレンチ750を覆うゲート誘電体層491、および共形多結晶ケイ素層の各部分から形成される半導体層420が示してあり、ここで、ゲート・トレンチ750の外側に堆積された多結晶ケイ素層の他の部分を、たとえばCMPによって除去してもよい。フォトレジスト層を堆積させ、フォトリソグラフィによってパターン付けして、第2のレジスト・マスク620を形成してもよい。
図12Bには、第2のレジスト・マスク620が、ゲート・トレンチ750を覆い、主表面701の接触領域702を露出させる様子が示してある。ゲート誘電体層491の露出部分を除去してもよい。ニッケル・アルミニウム層840を形成してもよい。ニッケル・アルミニウム層840を形成することは、堆積プロセス、たとえばスパッタリングを含んでもよい。
図12Cによれば、ニッケル・アルミニウム層840の第1の部分が、接触領域702内に形成され、ニッケル・アルミニウム層840の第2の部分が、第2のレジスト・マスク620上に形成される。第2のレジスト・マスク620を除去すると、ニッケル・アルミニウム層840の第2の部分がリフトオフする。加熱処理、たとえば少なくとも1100℃の最低温度での急速熱アニールは、ニッケル・アルミニウム層840の第1の部分を接触領域702内の接触層311に変換することができ、ここで、接触層311は、ニッケル、アルミニウム、およびニッケルシリサイドを含む。第2の補助金属層850および金属充填層815を、接触層311および半導体層420全体に堆積させてもよい。
図12Dには、ゲート・トレンチ750を覆う第2の補助金属層850、およびゲート・トレンチ750を充填する金属充填層815が示してある。主表面701上の金属充填層815の一部分を除去してもよい。主表面701上の金属充填層815の一部分の除去は、CMPを含んでもよく、ゲート・トレンチ750の外側の第2の補助金属層850の一部分の除去を含んでもよい。CMPは、接触層311または第2の補助金属層850で停止してもよい。選択的エッチング・プロセスは、第2の補助金属層850の露出部分を除去してもよい。
図12Eには、金属構造体450を含むトレンチ・ゲート構造体150が示してあり、ここで、この金属構造体450は、図12Dの第2の補助金属層850の一部分から形成される第1の層451、および図12Dの金属充填層815の残りの部分から形成される金属構造体450を含む。
図12Fには、主表面701上に堆積される層間絶縁膜層205が示してある。層間絶縁膜層205をパターン付けして、接触層311を露出させてもよい。図9Hまたは図11Fを参照して説明するように、主金属層を堆積させてもよい。
少なくとも、接触層311がニッケルおよびアルミニウムを基にしている点で、図12Gは図11Fと異なる。
主に、別の遷移金属または遷移金属窒化物を含む第1の金属補助層の代わりに、モリブデン層が形成されるという点で、図13A~図13Hの方法は図11A~図11Fの方法と異なる。
図13A~図13Eに示す方法ステップは、図11A~図11Eの方法ステップに対応しており、ここで、図11A~図11Eの第1の補助金属層820の代わりに、モリブデン含有層880が多結晶層421上に形成される。
したがって、図13Eでは、トレンチ・ゲート構造体150は、半導体層420および金属構造体450を含み、この金属構造体450は、モリブデンを含む金属の第1の層451を含み、モリブデンが唯一の主成分でもよい。さらなる詳細に関しては、図11A~図11Eの説明を参照する。
フォトレジスト層を、図13Eの層間絶縁膜層上に堆積させ、フォトリソグラフィによってパターン付けして、接触領域702を露出させる第3のレジスト・マスク630を形成してもよい。第3のレジスト・マスク630をエッチング・マスクとして使用して、接触領域702上の層間絶縁膜層205の一部分を除去してもよい。
図13Fには、図13Eの層間絶縁膜層205の残りの部分によって形成される、第3のレジスト・マスク630および層間絶縁膜210が示してある。たとえばスパッタリングによって、ニッケル・アルミニウム層840を堆積させてもよい。
図13Gには、接触領域702を覆う堆積ニッケル・アルミニウム層840の第1の部分、および第3のレジスト・マスク630の水平面部分を覆う堆積ニッケル・アルミニウム層840の第2の部分が示してある。
第3のレジスト・マスク630を除去し、ニッケル・アルミニウム層840の第2の部分をリフトオフする。炭化ケイ素基板700の接触領域702内のニッケル・アルミニウム層840の残りの第1の部分を、加熱処理によってケイ素化してもよい。ニッケル・アルミニウム層840の未反応成分を除去し、第2の熱処理、たとえば少なくとも750℃で、最大でも1100℃の最低温度での第2のRTPを実行してもよい。前述の通り、主金属層を堆積させ、パターン付けしてもよい。
図13Hには、主金属層から形成される主金属構造体315を備える、第1の負荷電極310が示してある。第1の負荷電極310は、ケイ素部分を含んでもよいニッケル・アルミニウム層から形成される、接触層311を備える。
接触層311の形成に適用される温度は、ケイ化モリブデンの形成温度よりも低い。したがって、接触層311の形成は、層間絶縁膜210を損傷することがあるトレンチ・ゲート構造体150でのケイ素化を必要としない。
図14には、トランジスタ・セルTCと、接触層311を介してトランジスタ・セルTCのソース領域110およびボディ領域120に電気的に接続された第1の負荷電極310とを有する、別の半導体デバイス500が示してある。別の実施形態では、接触層311はなくてもよい。図1および図2を参照してより詳細に説明するように、半導体デバイス500は、第1の表面101から炭化ケイ素体100内に延在するトレンチ・ゲート構造体150をさらに備える。
トレンチ・ゲート構造体150は、ゲート電極400、およびゲート誘電体490を備える。ゲート電極400およびゲート誘電体490に加えて、トレンチ・ゲート構造体150は、さらなる絶縁性構造体、およびさらなる導電性構造体を備えてもよく、ここで、このさらなる導電性構造体は、ゲート電極400から電気的に分離されてもよい。
ゲート電極400は、ゲート誘電体490と接触している半導体層420を備えてもよい。半導体層420は、厚さが50nm~500nm、たとえば100nm~300nmの範囲の共形層でもよく、またはトレンチ・ゲート構造体150の両側壁に形成されるゲート誘電体490の2つの部分の間の空間を完全に充填してもよい。トレンチ・ゲート構造体150およびゲート電極400は、金属構造体を欠いていてもよい。
半導体層420は、不要な不純物として、または意図された不純物としてリン原子を含んでもよく、この半導体層420中のリン原子の濃度は、最大でも1×1019cm-3、または最大でも5×1018cm-3、または最大でも1018cm-3である。半導体層420においてリン含有量が相対的に低くなると、リンの存在によって生じる悪影響を軽減することができる。
たとえば、半導体層420は、平均ドーパント濃度が少なくとも1020cm-3の比較的高濃度ドープのn型多結晶ケイ素層でもよく、この半導体層420は、ヒ素原子、硫黄原子、および/またはセレン原子を含む。半導体層420は、最大でも5×1018cm-3、または最大でも1018cm-3のリン濃度で、リン原子を全く含まないか、またはごく一部だけ含んでもよい。
一実施形態によれば、半導体層420は、平均ドーパント濃度が少なくとも1020cm-3の比較的高濃度ドープのp型多結晶ケイ素層でもよく、この半導体層420は、もっぱらアクセプタ原子、たとえばホウ素原子を含んでもよい。あるいは、半導体層420は、ドナー原子、たとえばリン原子をさらに含んでもよく、ここで、リン原子の濃度は、最大でも5×1018cm-3または最大でも1018cm-3である。
pドープ半導体層420を有するゲート電極400は、nドープのソース領域110およびpドープのボディ領域120を有する、nチャネル・トランジスタ・セルTCと組み合わせてもよい。本明細書においては特定の実施形態を図示し説明してきたが、様々な代替実装形態および/または同等な実装形態を、本開示の範囲から逸脱することなく示され説明されたこの特定の実施形態の代わりとしてもよいことが、当業者には理解されよう。本出願は、本明細書において議論した特定の実施形態の任意の改変形態または変形形態を包含するものである。したがって、本開示は、特許請求の範囲およびその均等物によってのみ限定されるものである。
100 炭化ケイ素体
101 第1の表面
102 第2の表面
103 側面
104 面法線
110 ソース領域
111 第1のソース部分
112 第2のソース部分
120 ボディ領域
121 主領域
130 ドリフト構造体
131 ドリフト領域
137 電流拡散領域
139 接触構造体
149 接触領域
150 トレンチ・ゲート構造体
160 遮蔽領域
170 半導体メサ
171 第1のメサ側壁
172 第2のメサ側壁
191 第1の方向
192 第2の方向
202 第1の層間絶縁膜部分
203 第2の誘電体層
205 層間絶縁膜層
210 層間絶縁膜
310 第1の負荷電極
311 接触層
315 主金属構造体
316 トレンチ接触部
320 第2の負荷電極
330 ゲート構造体
334 ゲート・パッド
336 ゲート導電線
400 ゲート電極
420 半導体層
421 多結晶層
425 多結晶半導体材料
450 金属構造体
451 第1の層
452 第2の層
455 充填構造体
471 犠牲酸化物層
472 第1の犠牲材料
475 第2の犠牲材料
490 ゲート誘電体
491 ゲート誘電体層
500 半導体デバイス
610 中央領域
611 第1のレジスト・マスク
615 第1のレジスト・マスク開口部
620 第2のレジスト・マスク
630 第3のレジスト・マスク
690 エッジ領域
700 炭化ケイ素基板
701 主表面
702 接触領域
711 事前ケイ素化部分
750 ゲート・トレンチ
755 補助トレンチ
810 第1の金属層
815 金属充填層
816 残りの部分
820 第1の補助金属層
830 接触金属層
840 ニッケル・アルミニウム層
850 第2の補助金属層
880 モリブデン含有層
902 炭化ケイ素基板を設ける
904 ゲート電極がトレンチ内に形成される

Claims (19)

  1. 半導体デバイスであって、
    炭化ケイ素体(100)の第1の表面(101)から前記炭化ケイ素体(100)内に延在するゲート電極(400)と、
    前記ゲート電極(400)と前記炭化ケイ素体(100)との間のゲート誘電体(490)と、
    前記炭化ケイ素体(100)と接触している接触層(311)と、
    を備え、
    前記ゲート電極(400)が、金属構造体(450)、および前記金属構造体(450)と前記ゲート誘電体(490)との間に半導体層(420)を含み、
    前記金属構造体(450)は、前記炭化ケイ素体(100)の前記第1の表面(101)と同一平面またはそれより上にある上面を有し、
    前記金属構造体(450)の前記上面は層間絶縁膜で覆われてい
    前記接触層(311)が、ニッケル・アルミニウムを含む、半導体デバイス。
  2. 前記半導体層(420)が、ドープ多結晶ケイ素および/または真性多結晶ケイ素のうち少なくとも一方を含む、請求項1に記載の半導体デバイス。
  3. 前記半導体層(420)が、pドープ多結晶ケイ素を含む、請求項1または2に記載の半導体デバイス。
  4. 前記金属構造体(450)が第1の層(451)を備え、前記第1の層(451)が、前記半導体層(420)と接触しており、少なくとも第1の遷移金属を含む、請求項1~3のいずれか一項に記載の半導体デバイス。
  5. 前記第1の層(451)がさらに窒素を含む、請求項4に記載の半導体デバイス。
  6. 前記第1の遷移金属が、モリブデン、チタン、またはタンタルである、請求項4または5に記載の半導体デバイス。
  7. 前記金属構造体(450)が充填構造体(455)を備え、前記第1の層(451)が前記充填構造体(455)と前記半導体層(420)との間に配置され、前記充填構造体が第2の遷移金属を含み、前記充填構造体が、少なくとも1つの主成分において前記第1の層(451)とは異なる、請求項4~6のいずれか一項に記載の半導体デバイス。
  8. 前記第2の遷移金属がタングステンであり、かつ/または前記第1の遷移金属とは異なる、請求項7に記載の半導体デバイス。
  9. 記接触層(311)が、チタン、窒化チタン、タンタル、および/または窒化タンタルを含み、前記金属構造体(450)の前記第1の層(451)が、チタン、窒化チタン、タンタル、および/または窒化タンタルを含む、請求項4~8のいずれか一項に記載の半導体デバイス。
  10. 記金属構造体(450)の前記第1の層(451)が、モリブデンおよび/または窒化モリブデンを含む、請求項4~8のいずれか一項に記載の半導体デバイス。
  11. 第2の層(452)が、前記第1の層(451)と前記充填構造体(455)との間に配置され、前記第2の層(452)が、少なくとも第3の遷移金属を含み、前記第2の層(452)が、少なくとも1つの主成分において前記第1の層(451)とは異なる、請求項7または8に記載の半導体デバイス。
  12. 前記炭化ケイ素体(100)が、ドリフト構造体(130)、ソース領域(110)、およびボディ領域(120)を備え、前記ボディ領域(120)が、前記ドリフト構造体(130)との第1のpn接合部(pn1)、および前記ソース領域(110)との第2のpn接合部(pn2)を形成し、前記ソース領域(110)が、前記ボディ領域(120)と前記第1の表面(101)との間に配置され、前記ボディ領域(120)が、前記ゲート誘電体(490)と接触している、請求項1~11のいずれか一項に記載の半導体デバイス。
  13. 前記炭化ケイ素体(100)の前記第1の表面(101)上にゲート構造体(330)をさらに備え、前記ゲート構造体(330)および前記ゲート電極(400)が、最大でも2つの接触領域において電気的に接続され、前記接触領域が、第1の方向(191)に沿って互いに離間している、請求項1~12のいずれか一項に記載の半導体デバイス。
  14. 前記炭化ケイ素体(100)の前記第1の表面(101)上に第1の負荷電極(310)をさらに備え、前記ゲート構造体(330)が、前記第1の負荷電極(310)と前記炭化ケイ素体(100)の側面(103)との間に配置される、請求項13に記載の半導体デバイス。
  15. 前記ゲート構造体(330)が、ゲート・パッド(334)および少なくとも1つのゲート導電線(336)を備え、前記ゲート導電線(336)が前記ゲート・パッド(334)と接続されている、請求項13または14に記載の半導体デバイス。
  16. 前記炭化ケイ素体(100)が、複数のゲート電極(400)を備え、前記ゲート電極(400)のそれぞれが、第1の方向(191)に沿って長手方向の延在を有し、前記ゲート電極(400)が、前記第1の方向(191)と直交する方向に互いに離間している、請求項1~15のいずれか一項に記載の半導体デバイス。
  17. 半導体デバイスを製造する方法であって、
    炭化ケイ素基板(700)を設けるステップであって、ゲート・トレンチ(750)が、前記炭化ケイ素基板(700)の主表面(701)から、前記炭化ケイ素基板(700)内に延在し、ゲート誘電体(490)が、前記ゲート・トレンチ(750)の少なくとも1つの側壁に形成されるステップと、
    前記ゲート・トレンチ(750)内にゲート電極(400)を形成するステップであって、前記ゲート電極(400)が、金属構造体(450)、および前記金属構造体(450)と前記ゲート誘電体(490)との間に半導体層(420)を備えるステップと、
    を含み、
    前記ゲート電極(400)を形成するステップが、前記半導体層(420)および前記主表面(701)上に第1の金属層(810)を堆積させるステップを含み、
    前記第1の金属層(810)が、遷移金属および/または遷移金属窒化物を含
    前記主表面(701)上の接触層(311)および前記金属構造体(450)の第1の層(451)が、前記第1の金属層(810)の各部分から形成される、方法。
  18. 接触層(311)が、前記主表面(701)上に形成され、次いで、前記金属構造体(450)の第1の層(451)が、前記半導体層(420)上に形成され、前記接触層(311)が、金属シリサイドおよび/またはニッケル・アルミニウムを含む、請求項17に記載の方法。
  19. 前記金属構造体(450)の第1の層(451)が、前記半導体層(420)上に形成され、次いで、接触層(311)が、前記主表面(701)上に形成され、前記第1の層(451)が、遷移金属ならびに/もしくは遷移金属窒化物を含み、前記接触層(311)が、遷移金属ならびに/もしくは遷移金属窒化物を含むか、または、前記第1の層(451)が、モリブデンならびに/もしくは窒化モリブデンを含み、前記接触層(311)が、ニッケル・アルミニウムを含む、請求項17に記載の方法。
JP2019089784A 2018-05-15 2019-05-10 炭化ケイ素体を有する半導体デバイスおよび製造方法 Active JP7493309B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE102018111653 2018-05-15
DE102018111653.5 2018-05-15
DE102019109368.6 2019-04-09
DE102019109368.6A DE102019109368A1 (de) 2018-05-15 2019-04-09 Halbleitervorrichtung mit siliziumcarbidkörper und herstellungsverfahren

Publications (2)

Publication Number Publication Date
JP2019212902A JP2019212902A (ja) 2019-12-12
JP7493309B2 true JP7493309B2 (ja) 2024-05-31

Family

ID=68419336

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019089784A Active JP7493309B2 (ja) 2018-05-15 2019-05-10 炭化ケイ素体を有する半導体デバイスおよび製造方法

Country Status (3)

Country Link
US (2) US11195921B2 (ja)
JP (1) JP7493309B2 (ja)
DE (1) DE102019109368A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9725310B2 (en) * 2013-12-20 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electromechanical system sensor and method of forming the same
IT201800007780A1 (it) * 2018-08-02 2020-02-02 St Microelectronics Srl Dispositivo mosfet in carburo di silicio e relativo metodo di fabbricazione
JP2022015398A (ja) * 2020-07-09 2022-01-21 新電元工業株式会社 半導体装置及び半導体装置の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005510087A (ja) 2001-11-15 2005-04-14 ゼネラル セミコンダクター,インク. ゲート電荷が低いトレンチ金属酸化膜半導体電界効果トランジスタ
JP2009099872A (ja) 2007-10-18 2009-05-07 Toshiba Corp 半導体装置及びその製造方法
WO2010110246A1 (ja) 2009-03-25 2010-09-30 ローム株式会社 半導体装置
JP2013004636A (ja) 2011-06-15 2013-01-07 Sumitomo Electric Ind Ltd 炭化珪素半導体装置およびその製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2738394B1 (fr) 1995-09-06 1998-06-26 Nippon Denso Co Dispositif a semi-conducteur en carbure de silicium, et son procede de fabrication
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
JP4917246B2 (ja) 2003-11-17 2012-04-18 ローム株式会社 半導体装置およびその製造方法
US7355207B2 (en) * 2004-05-24 2008-04-08 Denso Corporation Silicon carbide semiconductor device and method for manufacturing the same
JP5033305B2 (ja) * 2004-10-01 2012-09-26 株式会社日立製作所 炭化珪素半導体装置
US7365382B2 (en) * 2005-02-28 2008-04-29 Infineon Technologies Ag Semiconductor memory having charge trapping memory cells and fabrication method thereof
US7807536B2 (en) 2006-02-10 2010-10-05 Fairchild Semiconductor Corporation Low resistance gate for power MOSFET applications and method of manufacture
US7612407B2 (en) * 2006-08-07 2009-11-03 Force-Mos Technology Corp. Ltd Trenched MOSFET device configuration with reduced mask processes
US8058687B2 (en) * 2007-01-30 2011-11-15 Alpha & Omega Semiconductor, Ltd. Split gate with different gate materials and work functions to reduce gate resistance of ultra high density MOSFET
US8115251B2 (en) * 2007-04-30 2012-02-14 International Business Machines Corporation Recessed gate channel with low Vt corner
KR20120088058A (ko) 2010-11-15 2012-08-08 삼성전자주식회사 금속 알루미늄 질화물을 이용한 반도체 소자의 제조 방법
JP6026528B2 (ja) * 2011-07-14 2016-11-16 アーベーベー・テヒノロギー・アーゲー 絶縁ゲート型バイポーラトランジスタ
JP6102140B2 (ja) 2012-09-20 2017-03-29 三菱電機株式会社 半導体装置
US9136397B2 (en) 2013-05-31 2015-09-15 Infineon Technologies Ag Field-effect semiconductor device
KR20150090669A (ko) 2014-01-29 2015-08-06 에스케이하이닉스 주식회사 듀얼일함수 매립게이트형 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
US9548372B2 (en) 2015-01-29 2017-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with tunable work function
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005510087A (ja) 2001-11-15 2005-04-14 ゼネラル セミコンダクター,インク. ゲート電荷が低いトレンチ金属酸化膜半導体電界効果トランジスタ
JP2009099872A (ja) 2007-10-18 2009-05-07 Toshiba Corp 半導体装置及びその製造方法
WO2010110246A1 (ja) 2009-03-25 2010-09-30 ローム株式会社 半導体装置
JP2013004636A (ja) 2011-06-15 2013-01-07 Sumitomo Electric Ind Ltd 炭化珪素半導体装置およびその製造方法

Also Published As

Publication number Publication date
US20190355819A1 (en) 2019-11-21
US20220059659A1 (en) 2022-02-24
US11195921B2 (en) 2021-12-07
DE102019109368A1 (de) 2019-11-21
US11881512B2 (en) 2024-01-23
JP2019212902A (ja) 2019-12-12

Similar Documents

Publication Publication Date Title
US11855147B2 (en) Method for producing a silicon carbide semiconductor component
US8564060B2 (en) Semiconductor device with large blocking voltage and manufacturing method thereof
JP4192353B2 (ja) 炭化珪素半導体装置及びその製造方法
JP6930197B2 (ja) 半導体装置および半導体装置の製造方法
JP5525940B2 (ja) 半導体装置および半導体装置の製造方法
US11881512B2 (en) Method of manufacturing semiconductor device with silicon carbide body
US10636883B2 (en) Semiconductor device including a gate trench and a source trench
US20090114949A1 (en) High-mobility trench mosfets
JP5774261B2 (ja) 炭化シリコン自己整合エピタキシャルmosfetおよびその製造方法
JP7052245B2 (ja) 炭化珪素半導体装置および炭化珪素半導体装置の製造方法
US11063123B2 (en) Silicon carbide semiconductor device and method of manufacturing silicon carbide semiconductor device
US10147813B2 (en) Tunneling field effect transistor
CN108281351B (zh) 用于制造竖直半导体器件的方法和竖直半导体器件
JP6004109B2 (ja) 半導体装置及びその製造方法
JP4965756B2 (ja) 半導体装置
JP5802492B2 (ja) 半導体素子及びその製造方法
JP4948784B2 (ja) 半導体装置及びその製造方法
US9490355B2 (en) Silicon carbide static induction transistor and process for making a silicon carbide static induction transistor
US9048103B2 (en) Method for producing semiconductor device
JP7106882B2 (ja) 半導体装置および半導体装置の製造方法
JP7062946B2 (ja) 半導体装置および半導体装置の製造方法
US20170154965A1 (en) Semiconductor Device
US11616123B2 (en) Enhancement on-state power semiconductor device characteristics utilizing new cell geometries
JP7501000B2 (ja) 半導体装置
US20240055473A1 (en) Semiconductor device with integrated junction field effect transistor and associated manufacturing method

Legal Events

Date Code Title Description
AA79 Non-delivery of priority document

Free format text: JAPANESE INTERMEDIATE CODE: A24379

Effective date: 20190924

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220309

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230418

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230718

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231024

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240423

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240521

R150 Certificate of patent or registration of utility model

Ref document number: 7493309

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150