JP7431296B2 - 誘導結合プラズマソースの改善 - Google Patents

誘導結合プラズマソースの改善 Download PDF

Info

Publication number
JP7431296B2
JP7431296B2 JP2022150932A JP2022150932A JP7431296B2 JP 7431296 B2 JP7431296 B2 JP 7431296B2 JP 2022150932 A JP2022150932 A JP 2022150932A JP 2022150932 A JP2022150932 A JP 2022150932A JP 7431296 B2 JP7431296 B2 JP 7431296B2
Authority
JP
Japan
Prior art keywords
coil
segment
struts
plane
conductors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022150932A
Other languages
English (en)
Other versions
JP2022180536A (ja
Inventor
ジェームズ ロジャーズ
ジョン ポウローズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022180536A publication Critical patent/JP2022180536A/ja
Application granted granted Critical
Publication of JP7431296B2 publication Critical patent/JP7431296B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/026Shields
    • H01J2237/0266Shields electromagnetic

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Discharge Heating (AREA)

Description

背景
(分野)
本開示の諸実施形態は、概して、基板を処理するための誘導結合プラズマソースに関する。
(関連技術の説明)
マイクロエレクトロニクス製造では、誘導結合プラズマ(ICP)処理チャンバが一般的である。そのような反応器では、ガス内のガス原子から電子を分離する傾向があるガス内の電界を使用することにより、ガス内にプラズマが形成され、イオン、自由電子、中性分子、ラジカルのプラズマを生成する。プラズマを形成するICP法では、電界は、処理チャンバの外側に配置された1つ以上のコイルを流れるAC電流(例えば、RF)によって生成され、それにより、隣接するチャンバガス容積内のガスに電流を誘導する。電力伝達効率(すなわち、ガスへ効果的に伝達されてプラズマを形成した、コイルの通過電力量)は、ICP処理の重要な要素である。ICPソースは通常、容量性と誘導性の両方でチャンバ内のガスに結合しているが、容量結合はあまり効率的ではなく、このような理由から、プラズマが開始又は「点火」された後は望ましくない。容量結合により、プラズマの点火と低電力設定での動作が可能になり、この低電力設定では、低密度プラズマ(Eモードプラズマ)が生成される。一度プラズマが確立すると、誘導結合によりプラズマは高密度へ移行し(Hモードプラズマ)、容量結合の影響が最小限に抑えられる。
上記のICPソースが直面する問題の1つは、Eモードプラズマ及びHモードプラズマには種々の負荷インピーダンスがあり、RF整合ネットワークがこれらの負荷インピーダンスを調整しなければならないことである。電源とコイルの間でRF整合器を使用して、実効コイル回路インピーダンスをプラズマインピーダンスに「整合させる」。
システムが2つのプラズマモードの間で切り替わると、プラズマが不安定になる。さらに、インピーダンスの低振幅振動は、特定のガス化学作用とウェハ型を使用する時に、不安定性を引き起こす可能性がある。プラズマインピーダンスの変化によって電源とコイル回路に加わる突然のインピーダンス変化により、プラズマへの出力電力が変化する。すると今度は、プラズマに供給される電力の変化により、プラズマインピーダンスが変化し、これにより、正のフィードバックループが生じて、プラズマコイルと電源電力結合回路内が不安定になる。
概要
本開示は、概して、基板を処理するための装置に関する。一実施形態では、この装置は、コイル及びシールド部材に接続された遠隔場電力発生器を備える。シールド部材は、中心から外向きに延びる複数の半径方向スポークと、スポーク間の複数のスロットを含む。コイルは、内側コイル及び外側コイルを備えるように構成されてもよく、ここで、内側コイル及び外側コイルはそれぞれ、実質的に水平な部分を有している。
さらなる諸実施形態では、この装置は、コイル及びシールド部材に接続された遠隔場電力発生器を備える。シールド部材は、繰り返しパターンで外径から内向きに延びる様々な長さの複数の半径方向スポークを含む。コイルを、内側コイル及び外側コイルを備えるように構成してもよく、ここで、内側コイル及び外側コイルはそれぞれ、実質的に水平な部分を有している。
さらなる諸実施形態では、この装置は、コイル及びシールド部材に接続された遠隔場電力発生器を備える。シールド部材は、複数の半円形円弧状部材を備えており、これらの半円形円弧状部材は、半径方向部材から時計回りと反時計回りに交互配置で延在している。コイルを、内側コイル及び外側コイルを備えるように構成してもよく、ここで、内側コイル及び外側コイルはそれぞれ、実質的に水平な部分を有している。
本開示の上記の構成を詳細に理解することができるように、上記に簡単に要約した本開示のより具体的な説明を、諸実施形態を参照して行う。そして、これら実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は例示的な実施形態を示しているに過ぎず、従ってこの範囲を制限していると解釈されるべきではなく、他の同等に有効な実施形態を含み得ることに留意すべきである。
従来技術のICPシステムの典型的な断面の概略断面図である。 一実施形態による誘導結合ソースの概略斜視図である。 図2の誘導結合ソースの概略断面図である。 一実施形態のコイル配置の断面図である。 一実施形態のコイル配置の断面図である。 一実施形態のシールドの平面図である。 一実施形態のシールドの平面図である。 一実施形態のシールドの平面図である。 誘導結合ソースの回路図である。
理解を容易にするため、可能な場合には、同一の符号を使用して、これらの図面に共通の同一の要素を示す。ある実施形態の要素及び構成は、具体的な記述がなくとも、他の実施形態に有益に組み込まれ得る。
詳細な説明
本開示は、概して、誘導結合プラズマソースを使用して基板を処理するための装置に関する。誘導結合プラズマソースは、電源、シールド部材、及び電源に接続されたコイルを利用する。ある種の諸実施形態では、コイルの配置には、水平渦巻きグループと垂直に延びる螺旋グループがある。ある種の諸実施形態によれば、シールド部材は、接地部材を利用してファラデーシールドとして機能する。本明細書の諸実施形態は、基板処理システム内のプラズマにおける寄生損失及び不安定性を低減する。
図1は、典型的な処理チャンバ100の概略断面図である。諸実施形態は、プラズマを利用して基板を処理する任意の処理システムと共に実施され得ることが理解される。チャンバ100は、本体102と誘電体蓋104を備える。基板106は、本体102内の基板支持体108上に配置され得る。本明細書では、基板支持体には、RF整合ネットワーク114を介して電源112に接続された電極110が配置されている。ガス源128は、入口ポート130を介して処理チャンバ100に処理ガスを供給する。真空ポンプ134及び弁136は、処理チャンバ100内外へのガスの圧力及び外向きの流れを制御する。
誘導結合ソース116は、蓋104に隣接してその上に配置される。誘導結合ソース116は、RF電源122に接続されたコイル118、120を備える。RF電源122はさらに、RF整合ネットワーク124に接続されている。コイル118、120を、別個に電力供給される独立したコイル、又は直列配置で接続された従属コイルとしてもよい。誘導結合ソース116は、本体102の処理領域内に遠隔場を生成する。この遠隔場は、そこに導入された処理ガスをイオン化して、その中にプラズマ132を形成する。共振回路126は、RF電源122とコイル118、120との間に配置され、各コイルに選択的に電力を分配して、プラズマ132の形成と維持の制御性、及びプラズマ132に曝された基板106の面全体でのプラズマの相対強度を高める。
シールド138は、誘導結合ソース116と蓋104との間に配置されている。抵抗加熱素子(図示せず)がシールド138内に配置され、電源140に接続されている。抵抗加熱素子は熱を供給して、シールド138及び蓋104の温度を制御する。シールド138をファラデーシールドとして構成して、誘導結合ソース116により生成されるRF場の制御性を高める。
図2は、一実施形態による誘導結合プラズマソース200の概略斜視図である。図2では、理解を容易にするために、ソース200は、チャンバ内にプラズマを形成するために使用される時の向きとは、上下逆に示されている。誘導結合プラズマソース200は、図1の誘導結合ソース116と同様であってもよく、上部プレート202を有する。上部プレート202を、アルミニウムなどの金属で製造してもよい。石英などの他の材料、又は誘電体セラミックなどの誘電材料も、上部プレート202の材料として使用し得る。上部プレート202は、それを貫通する複数の通気口(図示せず)を備える。通気口を、ファンユニット(図示せず)からの空気がコイルを通過してから開口部を通過するように構成して、誘導結合プラズマソース200を冷却する空気の流路を作り出してもよい。上部プレート202は、誘導結合プラズマソース200の構成要素の取り付け面として機能し、内側及び外側支柱212、214を備えて、処理チャンバ100の蓋104から離間した位置に相対的に固定してコイルを支持する。
複数のコイル206(ここでは4つのコイル)が、内側及び外側支柱212、214によって上部プレート202に結合されている。コイル206の各々は、内側コイル部208(内側コイル部208a~d)及び外側コイル部210(外側コイル部210a~d)を備え、これらのコイル部は共にコイル短絡部211(コイル短絡部211a~d)によって互いに接続されている。内側コイル部208a~dは、複数(ここでは4つ)の内側支柱212によって支持され、外側コイル部210a~dは、複数(ここでは8個)の外側支柱214によって支持されている。本明細書の内側支柱212及び外側支柱214の各々は、電気絶縁体(例えば、デルリン(商標名)などのポリマー)で構成される。本明細書でさらに説明するように、支柱212、214は、上部プレート202に対するコイル部206、208の位置を支持及び固定する。また、本明細書の図2の構成では、各内側コイル部208a~dは、4つの内側支柱212のすべてによって支持されているが、各外側コイル部210a~dは、8つの外側支柱214のうちの7つのみによって支持され、各外側支柱は、少なくとも3つ、場合によっては4つの外側コイル部210a~dを支持していることに留意されたい。4つの独立ガイド216も用意されている。そのうちの1つが、ほぼ周方向の経路に沿って置かれた、1つおきの隣接する外側支柱214の間に配置される。独立ガイド216は、上側部材218と下側部材220を備える。上側部材218と下側部材220は、ねじ部品213などの留め具によって互いに連結されて、独立ガイド216を形成する。しかし、部材218、220を接合するのに適した任意の手段を利用してもよい。上側部材218は、上部プレート202に面して、ほぼ櫛形の外形を有し、下面から内部に延びる4つの凹部222a~dを備える。各凹部222は、外側コイル部210a~dをそれぞれ1つずつその中に受け入れる。凹部222a~dは、下側部材と協働して、各外側コイル部210の半径方向の位置を概ね固定し、異なる外側コイル部210を互いに電気的に絶縁する。さらに、各独立ガイド216は、2つの外側支柱214の間に円周方向に配置され、この配置位置は、コイル短絡部211(コイル短絡部211a~d)が各コイル206の内側コイル部208から外側コイル部210へ延びる位置である。それ故、独立ガイド216は、固定垂直支持を提供して、外側コイル部210a~dとコイル短絡部211a~dが出会う位置を垂直方向に揃える。ここで、独立ガイド216は、外側支柱214の周方向の経路の周りに90度離れて配置され、ここで、コイル短絡部211a~dの各々は、それぞれの内側コイル部208a~dと外側コイル部210a~dとの間に延在する。ある種の諸実施形態では、凹部222a~dは、下側部材220に、又は上側部材218と下側部材220の両方に形成されてもよい。独立ガイド216及び支柱212、210は、ポリマー又はセラミックなどの非導電性材料で構成される。
図3は、図2の矢視断面A-Aに沿って見た誘導結合プラズマソース200の断面図である。ここで、コイルの部分と、A-A面の背後の内側及び外側支柱208、210は、説明の簡略化ために図示されていない。上部プレート202は、図の下端に示されている。外側コイル部210は、外側支柱214によって支持されている。内側コイル部208は、内側支柱212によって支持されている。任意の数及び構成のコイル206、並びにそれに合わせた内側コイル部208、外側コイル部210、及びコイル短絡部211を利用してもよいことが理解される。
受座312が、ねじ部品213によって外側支柱214の各々に連結されている。しかし、ろう付け又は接着など、任意の手段を利用して支柱214と受座312を連結してもよい。受座312は、外側コイル210の巻線の一部を覆うように構成された1つ以上の凹部314を備える。1つの外側コイル部210が、各凹部314の内部に延在している。受座312は、支柱214と協働して、各外側コイル部の位置を垂直方向に固定し、異なる外側コイル部210を互いに電気的に絶縁する。さらなる諸実施形態において、凹部314は、支柱214に、又は受座312と支柱214の両方に形成されてもよい。受座312は、非導電性ポリマー又は非導電性セラミックなどの非導電性材料を含む。
同様に、受座316が、ねじ部品213(影になって図示されている)によって各内側支柱212に連結されている。しかしながら、任意の手段を利用して受座316を支柱212に連結してもよい。凹部318は、受座316内に形成され、内側コイル部208a~dの各々を1つずつその中に受け入れるように構成される。受座316は、支柱212と協働して、内側コイル部208a~dを垂直方向に固定し、それらを互いに電気的に絶縁する。ある種の諸実施形態では、受座316は、水平部材及び垂直部材などの複数の部材を備えてもよい。さらなる諸実施形態では、凹部318は、支柱212に、又は支柱212と受座316の両方に形成されてもよい。
リング320、322が、それぞれ内側支柱212及び外側支柱214に連結されている。内側リング320を、内側支柱212に連結することで、その外径が各内側支柱212の側面に当接し、こうして、内側支柱212が配置される周の直径を設定するのに役立つ。外側リング322は、ボルト円に沿って敷設された、ねじ部品326などの留め具によって外側支柱214と連結されている。こうして、外側支柱214をそこに連結することにより、外側支柱214が配置される周の直径を設定するのに役立つ。内側リング320及び外側リング322は、デルリン(登録商標)などのポリマー、又は他の絶縁材料、又はそれらの組み合わせで構成されてもよい。環状リングを形成するのに適した任意の材料を利用してもよい。内側リング320及び外側リング322は、それと連結する支柱212、214を補強するのに役立つ。リング320、322は、ボルト締め、ろう付け、又は接着などの任意の適切な手段によって支柱212、214に連結される。
図4は、一実施形態による誘導結合ソースのコイル配置の概略図である。図2のコイル206などのコイル400は、内側コイル部402及び外側コイル部404を備える。外側コイル部402は、実質的に水平な面に沿った同心渦巻きとして構成される。内側コイル部402は、合わせて2つの面で構成される。内側コイル部402の各々の第1部分は、実質的に水平な面内に組重ねられた渦巻きとして延在している。内側コイル部402の各々の第2部分は、第1部分から上部プレート202の方向に実質的に直立する円筒面に沿って組重ねられて巻きつくように構成される。図4では、外側コイル404は、4つの外側コイル部を備える。内側コイル402は、4つの内側コイル部を備える。このように巻くコイル部に、他の数を利用してもよいことが理解される。さらに、コイル巻線のグループ(コイル部)は、単一の長さの導体として内側部と外側部の両方を含む、複数の単一連続巻線であってもよいことが理解される。ある種の諸実施形態では、内側コイル部402及び外側コイル部404を、同じ又は異なるRF電力発生器(図1の電源122など)に接続してもよい。同様に、内側と外側のコイル部402、404の接続部では、調整可能コンデンサなどのコンデンサを設け得る。ここでは、内側及び外側のコイル部は、それに並列に接続されている。
図5は、一実施形態による誘導結合ソースのさらなる代表的な概略コイル配置である。このコイル500は、内側コイル部504と外側コイル部502とを備える。外側コイル部502は、実質的に水平な面内で組重ねられた同心渦巻きとして構成される。内側コイル部504は、2つの異なる幾何学的レイアウトに沿って構成される。内側コイル部504の第1部分は、実質的に水平な面に沿って渦巻き状に組重ねられている。内側コイル部504の第2部分は、軸中心の周りの想像上の円錐台表面に沿って上部プレート202の方向に延びている。内側コイル部504の水平な第1部分から離れて、軸中心に沿った距離Dが増加するにつれて、内側コイル部504の第2部分の巻き半径Rは減少する。したがって、内側コイル部504の円錐台は、内側コイル504の中心に形成される。
このように巻くコイル部に、他の数を利用してもよいことが理解される。さらに、コイル巻線のグループ(コイル部)は、単一の長さの導体として内側部と外側部の両方を含む、複数の単一連続巻線であってもよいことが理解される。ある種の諸実施形態では、内側コイル部504及び外側コイル部502を、同じ又は異なるRF電力発生器(図1の電源122など)に接続してもよい。同様に、内側と外側のコイル部504、502の接続部では、調整可能コンデンサなどのコンデンサを設け得る。ここでは、内側と外側のコイル部がそれに並列に接続される。
図4及び図5の実施形態は、図1の蓋104などの処理チャンバ蓋に隣接して配置され得る。このような構成では、蓋又は蓋に配置されたシールドの間の空隙が最小化されて、それにより、誘導結合ソースと処理チャンバ内のプラズマとの間の誘導結合を高める。図4及び図5の実施形態の水平コイルは、電力がコイルからプラズマに伝達されるフラックス領域を増加させる。フラックス領域が増加することで、より大きな電力分布により処理容積内のプラズマの均一性が向上し、誘導結合が高まる。誘導結合ソースとプラズマの間の誘導結合を高めることにより、RF整合ネットワークのインピーダンスの変動は低減し、それによって、寄生電力損失は低減し、プラズマの均一性はさらに向上する。
図6~8は、ある種の諸実施形態による様々なシールドの平面図である。図1のシールド138のようなシールドが、誘導結合ソースと処理チャンバとの間に配置される。シールドはRF場に影響を与えて、処理チャンバ内のプラズマに変更を加える。シールドは通常、アルミニウムなどの金属材料で作られている。ある種の諸実施形態では、シールドを電気的に接地してファラデーシールドを形成してもよい。シールドは、電源に接続された加熱素子を備えることができ、それにより、蓋ヒーターとして同時に機能する。加熱素子を用いて、シールド及び処理チャンバの蓋の温度を制御してもよい。シールドは、電気的に接続されてシールドを形成するセグメント(4つ以上のセグメントなど)を備えてもよい。スロットのサイズや幅、貫通する間隙、シールドの厚さ、セグメントの数などのシールドの構成を、RFへの望ましい影響レベルに基づいて調整してもよい。
図6は、一実施形態のシールドの平面図である。シールド600は、4つの同一のセグメント602a、602b、602c、602dで形成された円盤状の本体を備える。開口604がシールド600の中心に形成されている。間隙606が、隣接するシールドのセグメント602a~dの境界面に形成され、開口604からシールド600の幅にわたって半径方向に延びる。スポーク形状のスロット608が、開口604からシールド600の幅方向に部分的に、外側へ向かって半径方向に延びる。スロット610が、セグメント602a~dを通って延び、隣接するスポーク形状のスロット608の中間、並びにスポーク形状のスロット608及び隣接する間隙606の中間に配置される。スロット610は、スポーク形状のスロット608及び間隙606によって形成されるV形形状の区画(すなわち、シールドのセグメント602a~d内で半径方向の隆起(heave)対向する端部)内に含まれる。
図7は、一実施形態のシールドの平面図である。シールド700は、シールド700の外周を画定する、概ね平坦な円形本体702を備える。様々な長さの複数のスポーク704a、704b、704c、704dが第1、第2、第3、及び第4の長さの繰り返しパターンで、シールド700の本体702の外周から半径方向内側に延びる。スポーク704aは、シールド700の外周から中心に向かって測定された第1長さを有する。スポーク704bは、スポーク704aの長さよりも短い、外周から中心に向かって測定された長さを有する。スポーク704cは、スポーク704bの長さよりも短い、外周からシールド本体702の中心に向かって測定された長さを有する。スポーク704dは、スポーク704cの長さよりも短い、シールド本体702の外周から中心に向かって測定された長さを有する。
図8は、別の一実施形態のシールドの平面図である。シールド800は、半径方向部材804及び半円形の円弧状部材802a、802bを備える。半径方向部材804は、シールド600の中心806から半径方向に延びる。円弧状部材802aは、半径方向部材804から時計回り方向に、様々な曲率半径で延びている。円弧状部材802bは、半径方向部材804から反時計回り方向に、様々な曲率半径で半径方向部材804から延びている。円弧状部材802a、802bは、中心806から外向きに、交互に織り交ぜて又は入れ子になって構成されている。
図9は、図1の整合ネットワーク124及び誘導結合ソース116のような典型的な整合ネットワーク及びソース回路の回路図である。図9では、電源112は整合回路114に接続されている。整合回路114は、分岐点920に接続された2つの足に可変コンデンサ902及び可変コンデンサ904を備える。コンデンサ904を備える足は、ソース回路900に接続されている。ソース回路900は、第1インダクタ910と、第2インダクタ912とを備え、この第1インダクタ910を、外側コイル部(例えば、図2及び図3の210a~dのうちの1つ)としてもよい。第2インダクタ912は、可変コンデンサ914に直列に接続されている。固定コンデンサ918が、第1インダクタ910と第2インダクタ912との間の回路に接続されている。インダクタ912を、内側コイル部(例えば、図2及び図3の208a~dのうちの1つ)としてもよい。インダクタ910、912は、チャンバ蓋104を介して処理チャンバ928に電力を誘導結合し、その中に配置されたプラズマと電磁的に連通する。プラズマは、第1インダクタ910に接続された第1インダクタンス922と、第2インダクタ912に接続された第2インダクタンス926と、抵抗924とを有する。
図9の回路は、ソースと負荷の間の電力伝達を最大化するために、ソース電力インピーダンスを負荷のインピーダンスに「整合させる」ように機能する。さらに、可変コンデンサ902、904、914を利用することにより、電力を、内側コイルセグメント(インダクタ912)と外側コイルセグメント(インダクタ910)に対して個別に制御し得る。個々のコイルセグメントへの電力を制御することにより、処理チャンバ内で形成されるプラズマの均一性が向上する。ある種の諸実施形態では、内側コイル及び外側コイルへの電力は同相であってもよい。他の諸実施形態では、内側コイル及び外側コイルへの電力は位相がずれていてもよい。図9の回路を、上記の諸実施形態で実施してもよい。図9の回路を、インダクタへの電力の制御性が高まると有利になる任意のコイルソースで実施できることを、さらに理解すべきである。
本明細書に記載される諸実施形態は、有益にもプラズマ処理システムにおいてより均一なプラズマ場を生成する。誘導結合を増加させ、容量結合を減少させることで、整合ネットワークによって整合されるインピーダンスの変動が小さくなり、これにより、プラズマへ伝達される電力の変動が減少する。さらに、システムの寄生損失を減らすことにより、電力伝達効率が向上する。
上記は本開示の実施形態を対象としているが、本開示の他のさらなる実施形態を、その基本的な範囲から逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (20)

  1. 基板を処理するための装置であって、
    上部プレートと、
    内側コイルと外側コイルを含むコイルであって、外側コイルが第1の平面に配置され、内側コイルは、
    第1の平面内にあり、外側コイルに結合された内側コイルの第1のセグメントと、
    第1のセグメントに直列に結合された第2のセグメントであって、第1のセグメントを第1の平面の外に延在させ、第1のセグメントによって外側コイルから分離された第2のセグメントを含むコイルと、
    上部プレートに結合された内側支柱及び外側支柱であって、内側コイルが内側支柱によって支持され、外側コイルが外側支柱によって支持され、内側及び外側支柱は上部プレートから延在し、コイルを上部プレートから離れて支持する内側支柱及び外側支柱を備えた装置。
  2. 第1のセグメントは水平平面配置で構成される、請求項1に記載の装置。
  3. 第2のセグメントは垂直螺旋配置で構成される、請求項2に記載の装置。
  4. 第2のセグメントの垂直螺旋配置は内側コイルの中心に形成される円錐台構造を有する、請求項3に記載の装置。
  5. 第2セグメントが第2セットのコイル部分を含み、第2セットのコイル部分の各々は、外側コイルから離れる方向に距離が増加するにつれて減少する巻径を有する、請求項3に記載の装置。
  6. 内側コイルは垂直円筒配置で構成された第2のセグメントを有する、請求項2に記載の装置。
  7. 第2のセグメントは内側コイルの中心に形成される円錐台構造を有する、請求項6に記載の装置。
  8. 上部プレートがアルミニウム材料から製造される、請求項1に記載の装置。
  9. 1つ以上の独立ガイドを備え、1つ以上の独立ガイドの各々は、隣接する1つおきの外側支柱の間に配置され、1つ以上の独立ガイドの各々は第1の平面で外側コイルを支持する、請求項1に記載の装置。
  10. 上部プレートはこれを貫通する複数の通気口を含む、請求項1に記載の装置。
  11. 内側コイルはコイル短絡部部分で外側コイルに接続される、請求項1に記載の装置。
  12. 内側支柱及び外側支柱は電気絶縁材料で構成されている、請求項1に記載の装置。
  13. 基板を処理するための装置であって、
    1つ以上の導体であって、1つ以上の導体の各々は、
    第2のセグメントに直列に結合された第1のセグメントを含む内側コイルと、
    内側コイルに直列に結合された外側コイルであって、第1の平面に配置され、第1のセグメントと同一平面上にあり、第1のセグメントから半径方向外側に第2の同心平面配列で構成される外側コイルと、
    1つ以上の導体の内側コイルから外側コイルまで延在する短絡部であって、第2セグメントは第1平面に対して第1セグメントからある角度で延在し、第1セグメントによって外側コイルから分離される短絡部を備えた導体と、
    1つ以上の導体の各々の内側コイルを支持する複数の内側支柱と、
    1つ以上の導体の各々の外側コイルを支持する複数の外側支柱を備えた装置。
  14. 複数の内側支柱は4つの内側支柱を含み、
    複数の外側支柱は8つの外側支柱を含む、請求項13に記載の装置。
  15. 1つ以上の導体は4つの導体を含む、請求項13に記載の装置。
  16. 第2のセグメントは、減少する巻径を有する垂直螺旋配置で構成される、請求項13に記載の装置。
  17. 第2のセグメントは垂直円筒配置で構成される、請求項13に記載の装置。
  18. 第2のセグメントは内側コイルの中心に形成される円錐台構造を有する、請求項13に記載の装置。
  19. 基板を処理するための装置であって、
    1つ以上の導体であって、各々が短絡部によって外側コイルに直列に結合された内側コイルを備え、短絡部は1つ以上の導体の各々の内側コイルから各々の外側コイルに延在している導体であって、
    外側コイル及び短絡部は、第1の平面に配置され、
    内側コイルは第2のセグメントに直列に結合された第1のセグメントを含み、第1のセグメントは、第1の平面において第1の同心平面配置で構成され、
    第2のセグメントは、下方の第1のセグメントから延在し、第1の平面に対して非平行であり、第1のセグメントによって外側コイルから分離されており、
    第2のセグメントは垂直円筒配置で構成され、第2のセグメントは内側コイルの中心に形成される円錐台構造を有する導体と、
    内側コイルに結合された1つ以上の内側支柱と、
    外側コイルに結合された1つ以上の外側支柱を備える装置。
  20. 第1のセグメントは、第1の平面に配置された少なくとも2つの同心コイルを含む、請求項19に記載の装置。
JP2022150932A 2017-10-09 2022-09-22 誘導結合プラズマソースの改善 Active JP7431296B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/727,998 2017-10-09
US15/727,998 US11521828B2 (en) 2017-10-09 2017-10-09 Inductively coupled plasma source
JP2020531430A JP7148610B2 (ja) 2017-10-09 2018-07-10 基板処理装置
PCT/US2018/041499 WO2019074563A1 (en) 2017-10-09 2018-07-10 IMPROVEMENTS IN INDUCTIVE COUPLING PLASMA SOURCE

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020531430A Division JP7148610B2 (ja) 2017-10-09 2018-07-10 基板処理装置

Publications (2)

Publication Number Publication Date
JP2022180536A JP2022180536A (ja) 2022-12-06
JP7431296B2 true JP7431296B2 (ja) 2024-02-14

Family

ID=65993411

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020531430A Active JP7148610B2 (ja) 2017-10-09 2018-07-10 基板処理装置
JP2022150932A Active JP7431296B2 (ja) 2017-10-09 2022-09-22 誘導結合プラズマソースの改善

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020531430A Active JP7148610B2 (ja) 2017-10-09 2018-07-10 基板処理装置

Country Status (6)

Country Link
US (2) US11521828B2 (ja)
JP (2) JP7148610B2 (ja)
KR (2) KR102398974B1 (ja)
CN (2) CN116053108A (ja)
TW (2) TWI805611B (ja)
WO (1) WO2019074563A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11521828B2 (en) * 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
WO2020131608A1 (en) * 2018-12-18 2020-06-25 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
JP6976279B2 (ja) * 2019-03-25 2021-12-08 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US20210066054A1 (en) * 2019-08-28 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus for generating plasma
US20220208527A1 (en) * 2020-12-28 2022-06-30 Mattson Technology, Inc. Cooled Shield for ICP Source

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004509429A (ja) 2000-07-06 2004-03-25 アプライド マテリアルズ インコーポレイテッド 対称的な並列導体のコイルアンテナを有するプラズマリアクタ
JP2005011799A (ja) 2003-06-18 2005-01-13 Samsung Electronics Co Ltd Icpアンテナ及びこれを使用するプラズマ発生装置
WO2008129844A1 (ja) 2007-03-28 2008-10-30 Panasonic Corporation プラズマエッチング装置
JP2011146721A (ja) 1998-06-30 2011-07-28 Lam Research Corp プラズマ発生装置
JP2016529652A (ja) 2013-06-17 2016-09-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマリアクタ用の強化されたプラズマ源
JP2020532087A (ja) 2017-10-09 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマソースの改善

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6068784A (en) 1989-10-03 2000-05-30 Applied Materials, Inc. Process used in an RF coupled plasma reactor
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5772832A (en) 1991-06-27 1998-06-30 Applied Materials, Inc Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6518195B1 (en) 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US20010054601A1 (en) 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5777289A (en) 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
EP0710055B1 (en) 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US6270617B1 (en) 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5650032A (en) * 1995-06-06 1997-07-22 International Business Machines Corporation Apparatus for producing an inductive plasma for plasma processes
US5731565A (en) * 1995-07-27 1998-03-24 Lam Research Corporation Segmented coil for generating plasma in plasma processing equipment
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JPH0997783A (ja) * 1995-09-28 1997-04-08 Nec Corp プラズマ処理装置
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JP3146171B2 (ja) * 1997-03-17 2001-03-12 松下電器産業株式会社 プラズマ処理方法及び装置
US6504126B2 (en) 1997-06-30 2003-01-07 Applied Materials, Inc. Plasma reactor with coil antenna of concentrically spiral conductors with ends in common regions
US6076482A (en) * 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6280563B1 (en) * 1997-12-31 2001-08-28 Lam Research Corporation Plasma device including a powered non-magnetic metal member between a plasma AC excitation source and the plasma
KR100291898B1 (ko) 1999-04-09 2001-06-01 윤종용 스파터 오염원을 감소시키고 플라즈마에 유도 결합을 향상시키기위한 차폐판의 제조방법 및 플라즈마 식각장치
US6422173B1 (en) * 2000-06-30 2002-07-23 Lam Research Corporation Apparatus and methods for actively controlling RF peak-to-peak voltage in an inductively coupled plasma etching system
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6414648B1 (en) 2000-07-06 2002-07-02 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
US6694915B1 (en) 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US20020100557A1 (en) 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
US6685799B2 (en) * 2001-03-14 2004-02-03 Applied Materials Inc. Variable efficiency faraday shield
US7571697B2 (en) * 2001-09-14 2009-08-11 Lam Research Corporation Plasma processor coil
US7223321B1 (en) * 2002-08-30 2007-05-29 Lam Research Corporation Faraday shield disposed within an inductively coupled plasma etching apparatus
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US7273533B2 (en) 2003-11-19 2007-09-25 Tokyo Electron Limited Plasma processing system with locally-efficient inductive plasma coupling
KR100584122B1 (ko) * 2004-03-25 2006-05-29 에이피티씨 주식회사 플라즈마 소스코일을 갖는 플라즈마 챔버 및 이를 이용한웨이퍼 식각방법
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
CN101978475B (zh) 2008-03-21 2013-09-25 应用材料公司 屏蔽性盖加热器组件
JP5231308B2 (ja) * 2009-03-31 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置
JP5656458B2 (ja) * 2010-06-02 2015-01-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9653264B2 (en) 2010-12-17 2017-05-16 Mattson Technology, Inc. Inductively coupled plasma source for plasma processing
JP5727281B2 (ja) * 2011-04-21 2015-06-03 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US9490106B2 (en) * 2011-04-28 2016-11-08 Lam Research Corporation Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
US9293353B2 (en) * 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
JP5310821B2 (ja) 2011-10-27 2013-10-09 パナソニック株式会社 プラズマエッチング装置
US10271416B2 (en) 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US20130220975A1 (en) * 2012-02-27 2013-08-29 Rajinder Dhindsa Hybrid plasma processing systems
US20130256271A1 (en) 2012-04-03 2013-10-03 Theodoros Panagopoulos Methods and apparatuses for controlling plasma in a plasma processing chamber
US9082591B2 (en) 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9111722B2 (en) 2012-04-24 2015-08-18 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9449794B2 (en) * 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9885493B2 (en) 2013-07-17 2018-02-06 Lam Research Corporation Air cooled faraday shield and methods for using the same
CN104302084B (zh) 2013-07-17 2017-04-12 朗姆研究公司 空气冷却的法拉第屏蔽罩和使用该屏蔽罩的方法
US9945033B2 (en) 2014-01-06 2018-04-17 Applied Materials, Inc. High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US20160049279A1 (en) 2014-08-14 2016-02-18 Allied Techfinders Co., Ltd. Plasma device
US20160118284A1 (en) 2014-10-22 2016-04-28 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus
JP6471963B2 (ja) 2015-02-04 2019-02-20 パナソニックIpマネジメント株式会社 プラズマ処理装置
US10332725B2 (en) 2015-03-30 2019-06-25 Lam Research Corporation Systems and methods for reversing RF current polarity at one output of a multiple output RF matching network
US10211030B2 (en) * 2015-06-15 2019-02-19 Applied Materials, Inc. Source RF power split inner coil to improve BCD and etch depth performance
JP6740881B2 (ja) 2016-02-02 2020-08-19 東京エレクトロン株式会社 基板処理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011146721A (ja) 1998-06-30 2011-07-28 Lam Research Corp プラズマ発生装置
JP2004509429A (ja) 2000-07-06 2004-03-25 アプライド マテリアルズ インコーポレイテッド 対称的な並列導体のコイルアンテナを有するプラズマリアクタ
JP2005011799A (ja) 2003-06-18 2005-01-13 Samsung Electronics Co Ltd Icpアンテナ及びこれを使用するプラズマ発生装置
WO2008129844A1 (ja) 2007-03-28 2008-10-30 Panasonic Corporation プラズマエッチング装置
JP2016529652A (ja) 2013-06-17 2016-09-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマリアクタ用の強化されたプラズマ源
JP2020532087A (ja) 2017-10-09 2020-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマソースの改善

Also Published As

Publication number Publication date
KR20220031766A (ko) 2022-03-11
KR102398974B1 (ko) 2022-05-16
JP2020532087A (ja) 2020-11-05
KR102371471B1 (ko) 2022-03-04
CN111095475B (zh) 2023-03-28
WO2019074563A1 (en) 2019-04-18
TW201933419A (zh) 2019-08-16
US11521828B2 (en) 2022-12-06
JP7148610B2 (ja) 2022-10-05
JP2022180536A (ja) 2022-12-06
US20230052071A1 (en) 2023-02-16
CN116053108A (zh) 2023-05-02
US20190108974A1 (en) 2019-04-11
CN111095475A (zh) 2020-05-01
TW202341232A (zh) 2023-10-16
KR20200026321A (ko) 2020-03-10
TWI805611B (zh) 2023-06-21

Similar Documents

Publication Publication Date Title
JP7431296B2 (ja) 誘導結合プラズマソースの改善
US10170279B2 (en) Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
JP5829396B2 (ja) 位相調節可能なコイルアセンブリを備えたデュアルモード誘導結合プラズマ処理システム
US9928987B2 (en) Inductively coupled plasma source with symmetrical RF feed
KR101558295B1 (ko) 유도 결합형 플라즈마 장치
KR102069923B1 (ko) 플라즈마 처리 장치 및 필터 유닛
KR102177576B1 (ko) 플라즈마 처리 장치 및 필터 유닛
US11195704B2 (en) Pedestal assembly for plasma processing apparatus
US9082590B2 (en) Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10249470B2 (en) Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US20140232263A1 (en) Symmetrical inductively coupled plasma source with side rf feeds and spiral coil antenna
KR20150038130A (ko) 동축 rf 피드 및 동축 실딩을 갖는 대칭적 유도 결합된 플라즈마 소스
TW201740455A (zh) 反應腔室及半導體加工裝置
JP6530859B2 (ja) プラズマ処理装置
KR20110046256A (ko) 조정가능한 위상 코일 어셈블리를 갖는 듀얼 모드 유도 결합 플라즈마 반응기
US20230343553A1 (en) Antenna for inductively coupled plasma excitation, antenna unit for inductively coupled plasma excitation, and plasma processing apparatus
JP2024007812A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221013

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221013

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230830

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231211

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240111

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240201

R150 Certificate of patent or registration of utility model

Ref document number: 7431296

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150