JP7274460B2 - 光計測を質量計測と組合せるためのシステム及び方法 - Google Patents

光計測を質量計測と組合せるためのシステム及び方法 Download PDF

Info

Publication number
JP7274460B2
JP7274460B2 JP2020513515A JP2020513515A JP7274460B2 JP 7274460 B2 JP7274460 B2 JP 7274460B2 JP 2020513515 A JP2020513515 A JP 2020513515A JP 2020513515 A JP2020513515 A JP 2020513515A JP 7274460 B2 JP7274460 B2 JP 7274460B2
Authority
JP
Japan
Prior art keywords
substrate
mass
metrology
model
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020513515A
Other languages
English (en)
Other versions
JP2020533787A5 (ja
JP2020533787A (ja
Inventor
フェン・イー
アロラ・ラジャン
シールズ・ジェーソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020533787A publication Critical patent/JP2020533787A/ja
Publication of JP2020533787A5 publication Critical patent/JP2020533787A5/ja
Application granted granted Critical
Publication of JP7274460B2 publication Critical patent/JP7274460B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/08Optical fibres; light guides
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N7/00Computing arrangements based on specific mathematical models
    • G06N7/01Probabilistic graphical models, e.g. probabilistic networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Software Systems (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mathematical Physics (AREA)
  • Medical Informatics (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Geometry (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Description

[関連出願の相互参照]
本出願は、2017年9月6日付で出願された米国特許出願第15/696,768号の優先権を主張するものである。上記出願の全ての開示は、本明細書に援用される。
本開示は、基板処理システムに関し、特に、光計測と質量計測を組合せるためのシステム及び方法に関する。
本明細書で提供される背景の記載は、本開示の内容を一般的に表すことを目的としている。この背景技術セクションで記載される限りにおける、ここで挙げた発明者らの研究、及び出願時点で先行技術として特に見なされ得ない本明細書の態様は、本開示に対する先行技術として明示的にも黙示的にも認められない。
電子装置は、堆積、エッチング、洗浄及び/又は他の処理等の様々な処理技術を使用して、半導体ウエハ等の基板上に作製される。堆積技術の例として、化学気相堆積法(CVD:chemical vapor deposition)、物理気相堆積法(PVD:physical vapor deposition)、原子層堆積法(ALD:atomic layer deposition)等が挙げられる。除去又はエッチング技術の例として、剥離、ウェットエッチング、ドライエッチング、化学機械研摩(CMP:chemical mechanical polishing)等が挙げられる。
これらの基板処理は、通常、基板の表面を変化させる、及び/又は基板の質量を変化させる。例えば、堆積が、一般的に基板の質量を増大させるのに対し、エッチングは、一般的に、基板の質量を減少させる。製造中、処理が正しく実行されているか否かを判断するために、及び/又は次の基板を製造する前にプロセスを調節するために、基板を評価するのが、望ましい。
質量計測システムは、基板の質量を測定するために、又は処理中の基板の質量における変化を測定するために、開発された。質量計測システムは、かなり正確であるものの、生成される質量データの使用は、多少限定される。例えば、プロセス中の質量変化は、多数の要因により起こり得る。質量における変化の幾つかの原因は、相関変化である。質量における幾らかの変化は、基板全体に亘り分布し、ゼロ質量変化を発生させることがある。幾つかのプロセスでは、質量計測システムと他の計測システムを組合せるが、費用は、高過ぎる傾向がある。
基板処理のための計測システムは、基板上の複数の測定位置からのスペクトルを測定するための複数の光センサを含む光計測ステーションを含む。複数のファイバケーブルは、複数の光センサに接続される。分光計は、複数のファイバケーブルに選択的に接続される。質量計測ステーションは、基板の質量又は質量変化の少なくとも一方を測定する。コントローラは、複数の測定位置における厚さ値を、該複数の測定位置からのスペクトル及び学習モデルに基づいて、生成するためのモデル化モジュールを含む。空間モデル化モジュールは、モデル化モジュールからの複数の測定位置における厚さ値、及び質量計測ステーションからの質量又は質量変化の少なくとも一方に基づいて、基板に関する空間厚さ分布モデルを生成する。
他の特徴では、複数のシャッタは、複数のファイバケーブルに其々接続される。複数のシャッタは、一度に複数のファイバケーブル中の1本から分光計に、順次スペクトルを出力するように構成される。学習モデルは、機械学習を使用して生成される。機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む。学習モデルは、第一原理モデルに基づく。第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA:rigorous coupled-wave analysis)、又は時間領域差分法(FDTD:finite-difference time-domain)から成る群から選択される。
他の特徴では、基板は、複数のダイを含む。光センサは、複数の隣接するダイの部分からサンプルを生成するビーム径を有する。学習モデルは、複数のサンプル基板の複数の測定位置からのスペクトルを、複数のサンプル基板に関する複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、生成される。
他の特徴では、学習モデルは、主成分分析を実行することによって、生成される。空間モデル化モジュールは、質量又は質量変化の少なくとも一方を、回帰分析中の制約又は境界条件の少なくとも一方として使用する。
他の特徴では、学習モデルは、オートエンコーダを使用して生成される。光センサ位置決め装置は、少なくとも1個の光センサの位置を調節する。基板支持体位置決め装置は、基板支持体の位置を調節する。複数の光センサは、基板の対向する表面からのスペクトルを測定する。
他の特徴では、空間モデル化モジュールは、フィルム処理前に基板の厚さに更に基づいて、基板に関する空間厚さ分布モデルを生成する。
基板処理のための計測を提供する方法は、基板上の複数の測定位置からのスペクトルを測定するために、複数の光センサを含む光計測ステーションを提供すること、基板の質量又は質量変化の少なくとも一方を測定すること、基板の複数の測定位置における厚さ値を、複数の測定位置からのスペクトル及び学習モデルに基づいて、生成すること、及び複数の測定位置における厚さ値、及び質量又は質量変化の少なくとも一方に基づいて、基板に関する空間厚さ分布モデルを生成することを含む。
他の特徴では、学習モデルは、機械学習を使用して生成される。機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む。モデルは、第一原理モデルに基づく。第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析(RCWA:rigorous coupled-wave analysis)、又は時間領域差分法(FDTD:finite-difference time-domain)から成る群から選択される。
他の特徴では、基板は、複数のダイを含む。光センサは、複数の隣接するダイの部分からサンプルを生成するのに十分なビーム径を有する。
他の特徴では、方法は、複数のサンプル基板の複数の測定位置からのスペクトルを、複数のサンプル基板に関する複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、学習モデルを生成することを含む。
他の特徴では、方法は、主成分分析を実行することによって前記モデルを生成することを含む。方法は、質量又は質量変化の少なくとも一方を、空間厚さ分布モデルのための回帰分析中の制約又は境界条件の少なくとも一方として使用する使用することを含む。
他の特徴では、方法は、オートエンコーダを使用して学習モデルを生成することを含む。方法は、基板に関してスペクトルを測定中に、少なくとも1個の光センサの位置を調節することを含む。方法は、基板に関してスペクトルを測定中に、基板を支持する基板支持体の位置を調節することを含む。方法は、基板の対向する表面からのスペクトルを測定することを含む。
他の特徴では、方法は、フィルム処理の前に基板の厚さを生成すること、及びフィルム処理の前に基板の厚さに更に基づいて、基板に関する空間厚さ分布モデルを生成することを含む。
本開示の更なる適用領域は、詳細な説明、クレーム及び図面から明らかになるであろう。詳細な説明及び特定の実施例は、例示目的のみを意図しており、開示の範囲を限定することを意図していない。
本開示は、詳細な説明及び添付図から、より完全に理解されるであろう。
モデル訓練用に構成された本開示による計測システムの一実施例の機能ブロック図である。
本開示による光センサ及び/又は基板支持体のための位置決め装置の一実施例の機能ブロック図である。
本開示による光センサ及び/又は基板支持体のための位置決め装置の別の実施例の機能ブロック図である。
本開示による、基板の上及び下に配置された光センサの一実施例の機能ブロック図である。
本開示による切欠きを含む基板を示す。
本開示による、基板の複数のダイに対する大きな光センサビームの一実施例の平面図である。
本開示による、基板に関する空間厚さ分布モデルの一実施例を示す。
本開示による、スタンドアロン計測システムによって生成された目標基準値を使用して、測定されたスペクトルを基板の厚さと関係付けるモデルを生成するための方法の実施例を示すフローチャートである。 本開示による、スタンドアロン計測システムによって生成された目標基準値を使用して、測定されたスペクトルを基板の厚さと関係付けるモデルを生成するための方法の実施例を示すフローチャートである。
本開示による、処理用に構成された本開示による計測システムの一実施例の機能ブロック図である。
本開示による、学習モデル、光計測ステーションによって生成されるスペクトル、及び質量計測ステーションによって生成される質量を使用して、基板のパラメータを決定するための、及び/又は処理パラメータを調節するための方法の一実施例を説明するフローチャートである。 本開示による、学習モデル、光計測ステーションによって生成されるスペクトル、及び質量計測ステーションによって生成される質量を使用して、基板のパラメータを決定するための、及び/又は処理パラメータを調節するための方法の一実施例を説明するフローチャートである。
図面において、参照番号は、類似の及び/又は同じ要素を特定するために再使用されてもよい。
本開示によるシステム及び方法は、光計測と質量計測の両方を組合せて、費用対効果がよく、正確な計測システムにする、計測システムに関する。理解できるように、監視されるプロセスは、堆積等のアディティブ法、剥離若しくはエッチング等のサブトラクティブ法、又は洗浄等の他の処理プロセスとすることができる。
学習モデルの訓練モードとそれに続く製造モード中、計測システムは、複数の光センサと分光計を含む光計測ステーションを使用する。分光計は、複数の基板其々に対する複数の測定位置で、スペクトルを生成する。スペクトルは、基板及び位置識別データと共に記憶される。スペクトルは、多数の異なる波長で測定される。
また、空間分解能を有する高価で高精度のスタンドアロン計測ステーションも、複数の基板に関する基準測定値を生成するのに使用される。基準測定値は、少なくとも同じ基板組に対する複数の測定位置における基板パラメータに関する。スタンドアロン計測ステーションによって測定される基板パラメータは、厚さデータ、限界寸法データ、深さデータ、及び/又は物質密度データの中少なくとも1つを含む。目標基準値は、スタンドアロン計測ステーションによって生成される測定値に基づく。目標基準値は、基板及び位置識別データと共に記憶される。
モデル化は、スペクトルと目標基準値を関連付けるために、及び学習モデルを生成するために使用される。その後、学習モデルは、基板製造用スペクトルを、以下で更に記載するような、物理的な基板パラメータ(基板の特定位置における厚さ等)に変換するために使用される。
製造量まで増強する際、基板処理システム及び計測システムは、基板の製造量にあわせて複製される。スタンドアロン計測システムは、比較的費用が高いため、通常、高価過ぎて製造中に使用できない。本開示によると、スタンドアロン計測システムは、それほど高価でない質量計測ステーションに置換えられ、高価なスタンドアロン計測システムは、必要なくなり、費用を削減できる。
製造中、光計測システムは、上述したように、基板製造用スペクトルを生成する。このスペクトルは、学習モデルに供給され、該学習モデルは、基板の複数の測定位置に関する厚さデータ又は他の基板物理パラメータ等の物理パラメータを生成する。質量計測ステーションは、各基板に関する質量及び質量変化データを生成するのに使用される。
測定位置に関する厚さデータは、空間厚さ分布モジュールへの入力として提供され、該モジュールは、基板の上面の表面モデル又は曲率を決定する。空間モデルは、基板の厚さ又は基板の全表面に亘る基板の層の厚さを、規定する。質量又は質量変化は、回帰分析のための制約条件又は境界条件として空間厚さ分布モデルによって使用される。つまり、加えられた又は除去された層の密度が分かり、質量変化も分かる。そのため、質量又は質量変化は、空間モデルを制約するのに使用される。その結果得られる基板表面の空間厚さ分布モデルは、測定位置以外の位置における基板の上面の厚さを決定するのに使用される。厚さは、システム性能を診断するのに、及びその後の基板製造のためのプロセスパラメータを変更するのに、質量又は質量変化と併せて使用できる。
次に図1Aを参照すると、訓練中の計測システム100が、示されている。基板搬送及びハンドリングシステム128は、1台又は複数のロボット、搬送ステーション、及び/又は基板を計測システム100の計測ステーションに搬送及び受渡しするための他の装置を、含んでもよい。幾つかの実施例では、基板は、ロボット及びカメラを含むシステムによって正確に位置決めされるが、他の方法も使用できる。例えば、基板を位置決めするための適当なシステムが、本開示の譲受人に譲渡された米国特許出願公開第2017/0028560号明細書に記載されており、該出願は、2017年2月2日に公開され、“System and Method for Wafer Alignment and Centering with CCD Camera and Robot”と題するもので、全体として本明細書に援用される。
計測システム100は、学習モデルを生成するのに使用される目標基準値を生成するためにスタンドアロン計測ステーション110を含む。幾つかの実施例では、スタンドアロン計測ステーション110は、基板支持体112を含む。基板114は、基板支持体112上に配置され、物理的測定が、基板114上で行われる。幾つかの実施例では、スタンドアロン計測ステーション110は、光計測ステーション等の高価で高精度な計測ステーションである。スタンドアロン計測ステーション110は、高精度な計測データを生成する。以下で更に記載されるように、目標基準値は、後述される光計測ステーションによって使用される少なくとも測定位置に関して、スタンドアロン計測ステーション110によって生成される。幾つかの実施例では、スタンドアロン計測ステーション110によって行われる目標測定は、厚さデータ、限界寸法データ、深さデータ、及び/又は物質密度データの中少なくとも1つを含む。
計測システム100は、光計測ステーション126を更に含む。光計測ステーション126は、光計測の測定中に基板114を支持する基板支持体134を含む。光計測ステーション126は、基板上の複数の測定位置で光スペクトルを生成する。
光計測ステーション126は、複数の測定位置其々に対する複数の光センサ136-1、136-2、及び136-X(総称して光センサ136)を含むが、Xは、1以上の整数である。複数の光センサ136-1、136-2、及び136-Xの其々は、ファイバケーブル138-1、138-2、及び138-X(総称してファイバケーブル138)によって、分光計150に接続される。複数のシャッタ142-1、142-2、及び142-X(総称してシャッタ142)を含むマルチプレクサ140は、ファイバケーブル138の選択された1本を分光計150に出力するのに使用されてもよい。
以下で更に記載されるように、スタンドアロン計測ステーション110及び/又は光計測ステーション126は、基板支持体に対する基板の位置を検知するために、光センサ、カメラ、物理センサ等の1個又は複数のセンサ152、及び必要に応じて基板の位置を調節するための制御システムを含んでもよい。例えば、基板のx-y軸の位置は、基板支持体112及び/又は130の上面に平行な平面において決定される、及び/又は基板の切欠きの回転位置は、所望の回転位置に対して決定される。
学習モデルの訓練モード中、基板測定は、複数の基板其々の上にある多数の測定位置で、光計測ステーション126とスタンドアロン計測ステーション110の両方を使用して、行われる。スペクトルデータ及び目標基準値(基板識別及び位置データを伴う)を含む計測データは、その後の分析のために記憶される(例えば、データベースに)。
計測システム100は、プロセス、基板搬送及びハンドリング及び/又は計測ステーションを制御するために、1台又は複数のコントローラ154(総称してコントローラ154)を、更に含む。コントローラ154は、スタンドアロン計測ステーション110、光計測ステーション126、基板搬送及びハンドリングシステム128、分光計150及び/又はマルチプレクサ140と通信し、基板の受渡しや位置決め、及び計測の測定を調整する。コントローラ154は、スタンドアロン計測ステーション110と光計測ステーション126から、計測データを受信する。幾つかの実施例では、計測データは、データベース158に記憶される。
コントローラ154は、モデル化モジュール162を更に含んでもよい。幾つかの実施例では、モデル化モジュール162は、機械学習を使用して、スペクトル及び目標基準値に基づいて、学習モデルを作成する。幾つかの実施例では、機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む。機械学習の実施例としては、主成分分析(PCA:principal component analysis)、ニューラルネットワーク、オートエンコーダ、回帰、及び/又は部分最小二乗法(PLS:partial least square)が挙げられる。オートエンコーダは、全体として本明細書に援用する、“Unsupervised Feature Learning and Deep Learning Tutorial”、スタンフォード大学、http://ufldl.stanford.edu/tutorial/unsupervised/Autoencoders/
に記載されている。他の実施例では、モデル化モジュール162は、フレネルマルチビーム干渉、厳密結合波解析(RCWA:rigorous coupled-wave analysis)、又は時間領域差分法(FDTD:finite-difference time-domain)等の第一原理モデルを使用する。
学習モデルは、光計測ステーション126によって生成されたスペクトルを、スタンドアロン計測ステーション110によって生成された目標基準値と関連付ける。その後、学習モデルは、目標基準値を生成する必要なしに、スペクトルに直接基づいて製造中に厚さ値を生成できる。一旦学習モデルが訓練モード中に生成されると、スタンドアロン計測ステーション110は必要なくなり、その結果、以下で更に記載されるように、計測システムのコストを削減できる。
空間モデル化モジュール170は、学習モデルによって生成された測定位置における厚さに基づいて、基板に関する空間厚さ分布モデルを生成する。以下で更に記載される質量計測ステーションによって生成された質量計測データは、空間モデルを生成する際に、回帰分析のための制約又は境界条件として使用される。
次に、図1B及び図1Cを参照すると、幾つかの実施例では、基板支持体130は、基板位置決め装置170によって調節される。基板位置決め装置170は、x、y又はz軸方向で基板114の位置を調節する、及び/又は、基板114を回転する。スペクトル測定は、1つ又は複数の静止位置、及び/又は1つ又は複数の動的位置で、行うことができる。
基板支持体の位置を変える代わりに、又は基板支持体の位置を変えることに加えて、光センサ136-1、…136-Xの位置は、光センサ位置決め装置172-1、…172-X(総称して位置決め装置172)によって個別に調節できる。位置決め装置172は、x、y又はz軸方向で基板114の位置を調節できる、及び/又は基板114を回転できる。スペクトル測定は、1つ又は複数の静止位置、及び/又は1つ又は複数の動的位置で行うことができる。
図1Cでは、基板支持体の位置を変える代わりに、又は基板支持体の位置を変えることに加えて、光センサ136-1、…136-Xの位置は、光センサ位置決め装置174によって纏めて調節できる。光センサ位置決め装置170は、x、y又はz軸方向で基板114の位置を調節する、及び/又は基板114を回転する。スペクトル測定は、1つ又は複数の静止位置、及び/又は1つ又は複数の動的位置で行うことができる。
図1Dでは、光センサ178-1、…178-Y(総称して光センサ178)(Yは、ゼロ以上の整数である)は、基板114の反対面で測定を行うように、基板114の下に配置される。基板114の縁部は、スピンチャックで使用されるものと同様の縁部把持ピンによって、又は任意の他の適当な装置を使用して、係合できる。或いは、図1Aの光センサ136が、基板114を逆にして、再び基板114を測定することによって、基板114の両面に対して使用できる。処理中、膜は、基板の裏面に堆積されてもよい。ウエハの裏面に堆積された膜は、表面における厚さ測定に影響しない。しかしながら、基板の裏面上の膜は、基板の質量を増加させ、質量変化率又はデルタ(プロセス後の質量からプロセス前の質量を差し引く)に影響する。裏面における基板の厚さ測定は、より正確な質量デルタ測定値を得るために、及び以下で更に記載される空間厚さ分布モデルを更に制約するために、使用できる。
上記に加えて、幾つかの実施例では、入来する基板の厚さは、光センサ136及び/又は178を使用して、膜処理前に測定できる。堆積又はエッチング等の膜処理後に出て来る基板に関する空間厚さ分布モデルは、質量デルタと入来する基板の厚さのウエハにわたるバラツキとの組合せに基づいて制約できる。
次に、図2A~図2Bを参照すると、計測システム及び基板搬送及びハンドリングシステム128は、確実に、基板を、基板支持体に対して正確に位置決めする。つまり、基板は、基板支持体と平行な平面において正確に位置決めされる。加えて、基板の回転位置は、基準位置又は基板支持体に対して修正される。図2Aでは、基板114は、基板114の正確な回転位置決めを可能にするために、径方向の外縁部に位置する切欠き178を含んでもよい。
図2Bでは、光センサ136は、大きなビーム径を使用してもよい。例えば、基板114は、互いに隣接して配置される複数のダイ180を含んでもよい。幾つかの実施例では、光センサ136は、複数のダイ(例えば、少なくとも9個の隣接するダイ180)からのデータを少なくとも部分的に捕捉するビーム径を有してもよい。より大きなビームを使用することで、精密なランディング点での測定に対する依存性をなくし、効率的に数個のダイにわたり空間的に平均化できる。
次に、図3を参照すると、一旦学習モデルが作成されると、測定位置におけるその後のスペクトル測定値は、学習モデルによって厚さ測定値に変換される。図3では、後述される空間厚さ分布モジュールは、空間厚さ分布モデルを少なくとも部分的に規定するために、測定位置における厚さを使用する。つまり、厚さ測定値は、基板の空間モデルを作成するのに使用される。このモデルは、基板の中心について径方向に均等、又は不均等(例えば、3Dモデル)とすることができる。質量計測システムは、質量又は質量変化を、空間厚さ分布モジュールに供給する。質量又は質量変化は、回帰分析又は他のアプローチを使用して上面の曲率を決定する際の、制約又は境界条件として使用される。
次に図4A及び図4Bを参照すると、学習モデルを生成するための方法200が示されている。図4Aの220では、基板は、基板支持体上に位置決めされる。幾つかの実施例では、基板の精密な位置決めが実行される(例えば、基板支持体の上面に平行な平面におけるX-Y位置に対して、及び/又は基板の切欠きの回転方位に対して)。224では、光計測システムは、各基板に関する位置とスペクトルの対を生成し、記憶する。幾つかの実施例では、各位置に対して生成されるスペクトルは、多波長を含む。
228では、スタンドアロン計測システムは、少なくとも光計測システムによって測定された同じ位置で基板に関する目標基準値を生成し、記憶する。このプロセスは、232で特定される通りに、更なる基板に対して繰返される。十分な数の基板サンプルが測定されると、238で、機械学習が使用されて、測定されたスペクトルを、各位置に関する測定された目標基準値に関連付けて、学習モデルを生成する。
図4Bでは、機械学習は、240に示されるように、主成分分析(PCA)を実行し、複数の主成分スコアを決定することを含んでもよい。242では、複数の主成分スコアは、機械学習を使用して、目標基準値に関連付けられる。
例えば、主成分分析(PCA)は、複数のサンプル基板上の測定位置から生成されるスペクトルについて使用されてもよい。各主成分には、波長の関数であるベクトルがある。第1主成分は、最高分散を有する第1波長に対応する。他の主成分は、次に高い分散を有する他の波長に対応する。全主成分のサブセットが使用できる。例えば、10主成分が、各スペクトルに使用されてもよい。
スペクトルは、各主成分に関するスコアによって、主成分空間に投影される。所定数の基板の測定位置から所定数のサンプルを生成した後、主成分スコアを含む第1マトリクスが生成でき、第2マトリクスは、主成分ベクトルで生成でき、第3マトリクスは、対応する目標値を含み、生成できる。学習ベクトル又はモデルは、ニューラルネットワーク、線形回帰、非線形モデル等の任意の適当なアプローチを使用して、これらのマトリクスから生成できる。学習モデルは、その後の製造中に得られるスペクトル測定値を、製造中の目標基準値の必要性なく、各基板に関する厚さ値及び空間厚さ分布モデル(質量計測ステーションからの質量測定によって制約される)に変換するのに使用される。質量測定と空間厚さ分布モデルの組合せは、プロセスの動作を評価するのに、及び/又は後続の基板の製造中に使用されるプロセスパラメータに対する調節を行うのに使用できる。
次に、図5を参照すると、計測システム300は、製造構成で示されている。スタンドアロン計測ステーション110は、それほど高価でない質量計測ステーション310と置換えられており、スタンドアロン計測ステーション110は、使用されなくなり、大幅にコストを削減できる。幾つかの実施例では、質量計測ステーション310は、傾き、温度、湿度、圧力、高度、重力、及び/又は浮力等の1つ又は複数のパラメータを補償する。幾つかの実施例では、質量計測ステーション310は、内部重力較正付きロードセルを含む。適当な質量計測ステーションは、Metryx(登録商標)、ラムリサーチ社(Lam Research Company)から入手可能である。例えば、重力、温度、及び/又は浮力の補償を提供する適当な質量計測ステーションが、本開示の権利者が所有する米国特許第9,228,886号明細書に示され、記載されており、該特許は、“Semiconductor Wafer Weight Metrology Apparatus”と題するもので、全体として本明細書に援用される。
学習モデルの訓練モード中に生成される学習モデルは、質量計測ステーション310によって出力される質量測定値と併せて使用されて、層の厚さ、表面空間モデル又は以下で更に記載されるような他のパラメータ等の様々なパラメータを決定する。質量計測ステーション310及び光計測ステーション126は、別々のステーションとして示されているが、質量計測ステーション310と光計測ステーション126は、同じステーション内に共同設置できる。つまり、光センサは、同じステーション内でロードセルの上方に配置されてもよい。
製造モード中、光計測システムは、上述したように、スペクトルを生成する。スペクトルは、学習モデルに供給され、該学習モデルは、基板の複数の測定位置に関する厚さデータ又は他の基板物理パラメータ等の物理パラメータを生成する。質量計測ステーションは、各基板に関する質量データ又は質量変化データを生成する。質量変化データは、基板の前測定値と後測定値を使用して、生成できる。
測定位置に関する厚さデータは、空間厚さ分布モジュールへの入力として提供され、該モジュールは、基板の表面モデル又は基板の上面の曲率を決定する。質量は、回帰分析のための制約又は境界条件として、空間厚さ分布モデルによって使用される。その結果得られた基板表面の空間厚さ分布モデルは、測定位置以外の位置における基板の上面の厚さを決定するのに使用される。厚さは、システム性能を診断するために、及び/又は後続基板の製造のためのプロセスパラメータを変更するために、質量又は質量変化と併せて、使用できる。
次に、図6を参照すると、学習モデル、光計測ステーションによって生成されるスペクトル、及び質量計測ステーションによって生成される質量を使用して、基板の物理パラメータを決定するための方法400が、示されている。410では、基板は、光計測ステーションにおいて基板支持体上に位置決めされる。414では、光計測システムは、基板に関する位置とスペクトルの対を生成し、記憶する。424では、質量計測システムは、基板処理の前後で基板の質量又は質量変化を決定するのに使用される。
432では、訓練モード中に生成される学習モデルは、測定位置におけるスペクトルを、厚さ値又は他のパラメータに変換するために、製造中に使用される。436では、測定位置における厚さは、基板の上面の空間厚さ分布モデルのパラメータを決定するのに、空間厚さ分布モジュールによって使用される。質量又は質量変化は、回帰分析中の制約又は境界条件として使用される。440では、厚さ、空間厚さ分布モデル又は他のパラメータは、プロセスパラメータを調節するのに使用される。
次に、図7を参照すると、学習モデル、光計測ステーションによって生成されるスペクトル、及び質量計測ステーションによって生成される質量を使用して、基板の物理パラメータを決定するための方法500が、示されている。510では、基板は、光計測ステーションにおいて基板支持体上に位置決めされる。514では、光計測システムは、基板に関する位置とスペクトルの対を生成し、記憶する。520では、主成分分析が、スペクトルに対して実行される。524では、質量計測システムは、基板処理の前後で基板の質量又は質量変化を決定するのに使用される。
528では、訓練モード中に生成される学習モデルは、主成分分析結果を、厚さ値又は他のパラメータに変換するために、製造中に使用される。536では、測定位置における厚さは、基板の上面の空間厚さ分布モデルのパラメータを決定するのに、空間厚さ分布モジュールによって使用される。質量又は質量変化は、回帰分析中の制約又は境界条件として使用される。540では、厚さ、空間厚さ分布モデル又は他のパラメータは、プロセスパラメータを調節するのに使用される。
以上の記載は、本質的に、単に例示にすぎず、本開示、本開示の用途又は使用を限定することを決して意図するものではない。本開示の広範な教示は、様々な形で実装できる。そのため、本開示は、特定の実施例を含むが、本開示の実際の範囲は、そのように限定されるべきではない、というのも、他の変形例も、図面、明細書、及びそれに続くクレームを検討する際に明らかになるためである。一方法における1つ又は複数のステップは、異なる順番で(又は同時に)、本開示の原理を変更することなく、実行されてもよいと理解されるべきである。更に、各実施形態が、特定の特徴を有して上述されているが、本開示の任意の実施形態に関して記載されたこれら特徴の1つ又は複数は、任意の他の実施形態の特徴で実装できる、及び/又は任意の他の実施形態の特徴と組合せて、その組合せが明示的に記載されていなくとも、実装できる。つまり、記載された実施形態は、互いに排他的でなく、1つ又は複数の実施形態を互いに置換したものも、本開示の範囲内に収まるものとする。
要素間(例えば、モジュール、回路要素、半導体層等間)の空間的及び機能的関係は、「接続された」、「係合された」、「結合された」、「隣接した」、「隣合う」、「上に」、「上方に」、「下方に」及び「配設された」を含む、様々な用語を使用して記載される。第1要素と第2要素との関係が、上記開示で記載されているとき、「直接」であるとして明示的に記載されていない限り、その関係は、他の介在要素が、第1要素と第2要素の間に存在していない直接的な関係とできるが、1つ又は複数の介在要素が、(空間的又は機能的に)第1要素と第2要素との間に存在する間接的な関係ともできる。本明細書で使用されるように、A、B、及びCの少なくとも1つという表現は、非排他的な論理ORを使用した論理(A OR B OR C)を意味すると解釈されるべきであり、「少なくとも1つのA、少なくとも1つのB、及び少なくとも1つのC」を意味すると解釈されるべきではない。
幾つかの実装では、コントローラは、システムの一部であり、該システムは、上記実施例の一部としてもよい。かかるシステムは、半導体処理装置を備えることができ、該処理装置は、処理用具(複数可)、チャンバ(複数可)、処理用プラットフォーム(複数可)、及び/又は特定の処理部品(ウエハ受台、ガス流システム等)を含む。これらのシステムは、半導体ウエハ又は基板の処理前、中及び後に、該システムの動作を制御するための電子機器と一体化されてもよい。該電子機器は、「コントローラ」と呼ばれてもよく、システム(複数可)の様々な部品又は細部品を制御してもよい。コントローラは、処理要件及び/又はシステムの種類に応じて、処理ガスの送達、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送達設定、位置及び動作設定、ツール及び他の搬送ツール及び/又は特定のシステムに接続又は結合されたロードロックに対するウエハ搬入出を含む、本明細書に開示されたプロセスの何れかを制御するようにプログラムされてもよい。
広義には、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にすること等を行う、様々な集積回路、論理、メモリ、及び/又はソフトウェアを有する電子機器と定義されてもよい。集積回路は、ファームウェアの形でプログラム命令を記憶するチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されるチップ、及び/又は1個又は複数のマイクロプロセッサ、又はプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、半導体ウエハ上での又は半導体ウエハのための、又はシステムに対する特定のプロセスを実行するための動作パラメータを規定する様々な個々の設定(又はプログラムファイル)の形で、コントローラに通信される命令としてもよい。動作パラメータは、幾つかの実施形態において、ウエハの1層又は複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はダイの作製中に1つ又は複数の処理ステップを達成するためにプロセスエンジニアによって規定されるレシピの一部としてもよい。
コントローラは、幾つかの実装では、コンピュータの一部としてもよい、又はコンピュータと結合されてもよく、かかるコンピュータは、システムと一体化される、システムに結合される、その他の方法でシステムにネットワーク接続される、或いはそれらの組合せである。例えば、コントローラは、「クラウド」内に存在してもよい、又はウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部若しくは一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理ステップを設定する、又は新たなプロセスを開始するために、システムへのリモートアクセスを可能にして、作製動作の現在の進捗を監視する、過去の作製動作の履歴を調べる、又は複数の作製動作からの傾向若しくは性能測定基準を調べてもよい。幾つかの実施例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを介して、システムにプロセスレシピを提供でき、該ネットワークは、ローカルネットワーク又はインターネットを含んでもよい。リモートコンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザインタフェースを含んでもよく、パラメータ及び/又は設定は、次に、リモートコンピュータからシステムに通信される。幾つかの実施例では、コントローラは、データの形で命令を受信し、命令は、1つ又は複数の動作中に実行される各処理ステップのためのパラメータを指定する。パラメータは、実行されるプロセスの種類、及びコントローラがインタフェース接続する又は制御するよう構成されたツールの種類に固有としてもよいと理解されるべきである。従って、上述のように、コントローラは、互いにネットワーク接続され、本明細書に記載のプロセス及び制御等の、共通の目的に向けて協働する1つ又は複数の別個のコントローラを備えること等によって分散されてもよい。かかる目的のための分散コントローラの一例は、チャンバでのプロセスを制御するために組合わされる遠隔に位置する(プラットフォームレベルにある、又はリモートコンピュータの一部として等)1つ又は複数の集積回路と通信するチャンバにある1つ又は複数の集積回路である。
限定はしないが、システムの例として、プラズマエッチングチャンバ又はモジュール、堆積チャンバ又はモジュール、スピンリンスチャンバ又はモジュール、金属メッキチャンバ又はモジュール、洗浄チャンバ又はモジュール、ベベルエッジエッチングチャンバ又はモジュール、物理気相堆積法(PVD)チャンバ又はモジュール、化学気相堆積法(CVD)チャンバ又はモジュール、原子層堆積法(ALD)チャンバ又はモジュール、原子層エッチング(ALE:atomic layer etch)チャンバ又はモジュール、イオン注入チャンバ又はモジュール、トラックチャンバ又はモジュール、及び半導体ウエハの作製及び/又は製造に関連する又は使用されてもよい任意のその他の半導体処理システムを含んでもよい。
上述のように、ツールによって実行される1つ又は複数のプロセスステップに応じて、コントローラは、他のツール回路又はモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接するツール、近くのツール、工場の至る所に位置するツール、メインコンピュータ、別のコントローラ、或いは、半導体製造工場内のツール位置及び/又はロードポートに向かって又はそこからウエハのコンテナを運ぶ材料搬送に使用されるツール、の中の1つ又は複数と通信してもよい。本開示は以下の適用例としても実現できる。
[適用例1]
基板処理のための計測システムであって、
光計測ステーションであって、
基板上の複数の測定位置からのスペクトルを測定するための複数の光センサ、
前記複数の光センサに接続される複数のファイバケーブル、及び
前記複数のファイバケーブルに選択的に接続される分光計
を含む、光計測ステーションと、
前記基板の質量又は質量変化の少なくとも一方を測定するための質量計測ステーションと、
コントローラであって、
前記複数の測定位置における厚さ値を、前記複数の測定位置からの前記スペクトル及び学習モデルに基づいて、生成するためのモデル化モジュール、及び
前記モデル化モジュールからの前記複数の測定位置における前記厚さ値、及び前記質量計測ステーションからの前記質量又は質量変化の少なくとも一方に基づいて、前記基板に関する空間厚さ分布モデルを生成するための空間モデル化モジュール
を含む、コントローラと、
を備える計測システム。
[適用例2]
適用例1に記載の計測システムであって、前記複数のファイバケーブルに其々接続される複数のシャッタを更に備え、前記複数のシャッタは、一度に複数のファイバケーブル中の1本から前記分光計に、順次スペクトルを出力するように構成される、計測システム。
[適用例3]
適用例1に記載の計測ステーションであって、前記学習モデルは、機械学習を使用して、生成される、計測ステーション。
[適用例4]
適用例3に記載の計測ステーションであって、前記機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む、計測ステーション。
[適用例5]
適用例1に記載の計測ステーションであって、前記学習モデルは、第一原理モデルに基づく、計測ステーション。
[適用例6]
適用例5に記載の計測ステーションであって、前記第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA:rigorous coupled-wave analysis)、又は時間領域差分法(FDTD:finite-difference time-domain)から成る群から選択される、計測ステーション。
[適用例7]
適用例1に記載の計測システムであって、前記基板は、複数のダイを含み、前記光センサは、複数の隣接するダイの部分からサンプルを生成するビーム径を有する、計測システム。
[適用例8]
適用例1に記載の計測システムであって、前記学習モデルは、複数のサンプル基板の複数の測定位置からの前記スペクトルを、前記複数のサンプル基板に関する前記複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、生成される、計測システム。
[適用例9]
適用例1に記載の計測システムであって、前記学習モデルは、主成分分析を実行することによって、生成される、計測システム。
[適用例10]
適用例1に記載の計測システムであって、前記空間モデル化モジュールは、前記質量又は質量変化の少なくとも一方を、回帰分析中の制約又は境界条件の少なくとも一方として使用する、計測システム。
[適用例11]
適用例1に記載の計測システムであって、前記学習モデルは、オートエンコーダを使用して、生成される、計測システム。
[適用例12]
適用例1に記載の計測システムであって、少なくとも1個の前記光センサの位置を調節するために、光センサ位置決め装置を更に備える、計測システム。
[適用例13]
適用例1に記載の計測システムであって、前記基板支持体の位置を調節するために、基板支持体位置決め装置を更に備える、計測システム。
[適用例14]
適用例1に記載の計測システムであって、前記複数の光センサは、前記基板の対向する表面からのスペクトルを測定する、計測システム。
[適用例15]
適用例1に記載の計測システムであって、前記空間モデル化モジュールは、フィルム処理前に前記基板の厚さに更に基づいて、前記基板に関する前記空間厚さ分布モデルを生成する、計測システム。
[適用例16]
基板処理のための計測を提供する方法であって、
基板上の複数の測定位置からのスペクトルを測定するために、複数の光センサを含む光計測ステーションを提供することと、
前記基板の質量又は質量変化の少なくとも一方を測定することと、
前記基板の前記複数の測定位置における厚さ値を、前記複数の測定位置からの前記スペクトル及び学習モデルに基づいて、生成することと、
前記複数の測定位置における前記厚さ値、及び前記質量又は質量変化の少なくとも一方に基づいて、前記基板に関する空間厚さ分布モデルを生成することと、
を備える、方法。
[適用例17]
適用例16に記載の方法であって、前記学習モデルは、機械学習を使用して生成される、方法。
[適用例18]
適用例17に記載の方法であって、前記機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む、方法。
[適用例19]
適用例16に記載の方法であって、前記モデルは、第一原理モデルに基づく、方法。
[適用例20]
適用例19に記載の方法であって、前記第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA)、又は時間領域差分法(FDTD)から成る群から選択される、方法。
[適用例21]
適用例16に記載の方法であって、前記基板は、複数のダイを含み、前記光センサは、複数の隣接するダイの部分からサンプルを生成するのに十分なビーム径を有する、方法。
[適用例22]
適用例16に記載の方法であって、複数のサンプル基板の複数の測定位置からの前記スペクトルを、前記複数のサンプル基板に関する前記複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、前記学習モデルを生成することを更に備える、方法。
[適用例23]
適用例16に記載の方法であって、主成分分析を実行することによって前記モデルを生成することを更に備える、方法。
[適用例24]
適用例16に記載の方法であって、前記質量又は質量変化の少なくとも一方を、前記空間厚さ分布モデルのための回帰分析中の制約又は境界条件の少なくとも一方として使用することを更に備える、方法。
[適用例25]
適用例16に記載の方法であって、オートエンコーダを使用して前記学習モデルを生成することを更に備える、方法。
[適用例26]
適用例16に記載の方法であって、前記基板に関して前記スペクトルを測定中に、少なくとも1個の前記光センサの位置を調節することを更に備える、方法。
[適用例27]
適用例16に記載の方法であって、前記基板に関して前記スペクトルを測定中に、前記基板を支持する基板支持体の位置を調節することを更に備える、方法。
[適用例28]
適用例16に記載の方法であって、前記基板の対向する表面からスペクトルを発生させる、方法。
[適用例29]
適用例16に記載の方法であって、
フィルム処理の前に前記基板の厚さを生成することと、
フィルム処理の前に前記基板の厚さに更に基づいて、前記基板に関する前記空間厚さ分布モデルを生成することと、
を更に備える、方法。

Claims (29)

  1. 基板処理のための計測システムであって、
    光計測ステーションであって、
    基板上の複数の測定位置からのスペクトルを測定するための複数の光センサ、
    前記複数の光センサに接続される複数のファイバケーブル、及び
    前記複数のファイバケーブルに選択的に接続される分光計
    を含む、光計測ステーションと、
    前記基板の質量又は質量変化の少なくとも一方を測定するための質量計測ステーションと、
    コントローラであって、
    前記複数の測定位置における厚さ値を、前記複数の測定位置からの前記スペクトル及び学習モデルに基づいて、生成するためのモデル化モジュール、及び
    前記モデル化モジュールからの前記複数の測定位置における前記厚さ値、及び前記質量計測ステーションからの前記質量又は質量変化の少なくとも一方に基づいて、前記基板に関する空間厚さ分布モデルを生成するための空間モデル化モジュールであって、前記質量は、前記空間厚さ分布モデルによって制約として使用される、空間モデル化モジュール
    を含む、コントローラと、
    を備える計測システム。
  2. 請求項1に記載の計測システムであって、前記複数のファイバケーブルに其々接続される複数のシャッタを更に備え、前記複数のシャッタは、一度に複数のファイバケーブル中の1本から前記分光計に、順次スペクトルを出力するように構成される、計測システム。
  3. 請求項1に記載の計測システムであって、前記学習モデルは、機械学習を使用して、生成される、計測システム。
  4. 請求項3に記載の計測システムであって、前記機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む、計測システム。
  5. 請求項1に記載の計測システムであって、前記学習モデルは、第一原理モデルに基づく、計測システム。
  6. 請求項5に記載の計測システムであって、前記第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA:rigorous coupled-wave analysis)、又は時間領域差分法(FDTD:finite-difference time-domain)から成る群から選択される、計測システム。
  7. 請求項1に記載の計測システムであって、前記基板は、複数のダイを含み、前記光センサは、複数の隣接するダイの部分からサンプルを生成するビーム径を有する、計測システム。
  8. 請求項1に記載の計測システムであって、前記学習モデルは、複数のサンプル基板の複数の測定位置からの前記スペクトルを、前記複数のサンプル基板に関する前記複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、生成される、計測システム。
  9. 請求項1に記載の計測システムであって、前記学習モデルは、主成分分析を実行することによって、生成される、計測システム。
  10. 請求項1に記載の計測システムであって、前記空間モデル化モジュールは、前記質量又は質量変化の少なくとも一方を、回帰分析中の制約又は境界条件の少なくとも一方として使用する、計測システム。
  11. 請求項1に記載の計測システムであって、前記学習モデルは、オートエンコーダを使用して、生成される、計測システム。
  12. 請求項1に記載の計測システムであって、少なくとも1個の前記光センサの位置を調節するために、光センサ位置決め装置を更に備える、計測システム。
  13. 請求項1に記載の計測システムであって、基板支持体の位置を調節するために、基板支持体位置決め装置を更に備える、計測システム。
  14. 請求項1に記載の計測システムであって、前記複数の光センサは、前記基板の対向する表面からのスペクトルを測定する、計測システム。
  15. 請求項1に記載の計測システムであって、前記空間モデル化モジュールは、フィルム処理前に前記基板の厚さに更に基づいて、前記基板に関する前記空間厚さ分布モデルを生成する、計測システム。
  16. 基板処理のための計測を提供する方法であって、
    基板上の複数の測定位置からのスペクトルを測定するために、複数の光センサを含む光計測ステーションを提供することと、
    前記基板の質量又は質量変化の少なくとも一方を測定することと、
    前記基板の前記複数の測定位置における厚さ値を、前記複数の測定位置からの前記スペクトル及び学習モデルに基づいて、生成することと、
    前記複数の測定位置における前記厚さ値、及び前記質量又は質量変化の少なくとも一方に基づいて、前記基板に関する空間厚さ分布モデルを生成することであって、前記質量は、前記空間厚さ分布モデルによって制約として使用される、ことと、
    を備える、方法。
  17. 請求項16に記載の方法であって、前記学習モデルは、機械学習を使用して生成される、方法。
  18. 請求項17に記載の方法であって、前記機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む、方法。
  19. 請求項16に記載の方法であって、前記学習モデルは、第一原理モデルに基づく、方法。
  20. 請求項19に記載の方法であって、前記第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA)、又は時間領域差分法(FDTD)から成る群から選択される、方法。
  21. 請求項16に記載の方法であって、前記基板は、複数のダイを含み、前記光センサは、複数の隣接するダイの部分からサンプルを生成するのに十分なビーム径を有する、方法。
  22. 請求項16に記載の方法であって、複数のサンプル基板の複数の測定位置からの前記スペクトルを、前記複数のサンプル基板に関する前記複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、前記学習モデルを生成することを更に備える、方法。
  23. 請求項16に記載の方法であって、主成分分析を実行することによって前記学習モデルを生成することを更に備える、方法。
  24. 請求項16に記載の方法であって、前記質量又は質量変化の少なくとも一方を、前記空間厚さ分布モデルのための回帰分析中の制約又は境界条件の少なくとも一方として使用することを更に備える、方法。
  25. 請求項16に記載の方法であって、オートエンコーダを使用して前記学習モデルを生成することを更に備える、方法。
  26. 請求項16に記載の方法であって、前記基板に関して前記スペクトルを測定中に、少なくとも1個の前記光センサの位置を調節することを更に備える、方法。
  27. 請求項16に記載の方法であって、前記基板に関して前記スペクトルを測定中に、前記基板を支持する基板支持体の位置を調節することを更に備える、方法。
  28. 請求項16に記載の方法であって、前記基板の対向する表面からスペクトルを発生させる、方法。
  29. 請求項16に記載の方法であって、
    フィルム処理の前に前記基板の厚さを生成することと、
    フィルム処理の前に前記基板の厚さに更に基づいて、前記基板に関する前記空間厚さ分布モデルを生成することと、
    を更に備える、方法。
JP2020513515A 2017-09-06 2018-08-31 光計測を質量計測と組合せるためのシステム及び方法 Active JP7274460B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/696,768 US10989652B2 (en) 2017-09-06 2017-09-06 Systems and methods for combining optical metrology with mass metrology
US15/696,768 2017-09-06
PCT/US2018/049192 WO2019050801A1 (en) 2017-09-06 2018-08-31 SYSTEMS AND METHODS FOR COMBINING OPTICAL METROLOGY AND MASS METROLOGY

Publications (3)

Publication Number Publication Date
JP2020533787A JP2020533787A (ja) 2020-11-19
JP2020533787A5 JP2020533787A5 (ja) 2021-10-07
JP7274460B2 true JP7274460B2 (ja) 2023-05-16

Family

ID=65517215

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020513515A Active JP7274460B2 (ja) 2017-09-06 2018-08-31 光計測を質量計測と組合せるためのシステム及び方法

Country Status (8)

Country Link
US (1) US10989652B2 (ja)
EP (1) EP3679598B1 (ja)
JP (1) JP7274460B2 (ja)
KR (1) KR102609122B1 (ja)
CN (1) CN111066131A (ja)
IL (1) IL273081B2 (ja)
TW (1) TWI782082B (ja)
WO (1) WO2019050801A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021022291A1 (en) * 2019-07-26 2021-02-04 Lam Research Corporation Integrated adaptive positioning systems and routines for automated wafer-handling robot teach and health check
WO2021030833A1 (en) * 2019-08-09 2021-02-18 Lam Research Corporation Model based control of wafer non-uniformity
DE102020100565A1 (de) * 2020-01-13 2021-07-15 Aixtron Se Verfahren zum Abscheiden von Schichten
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US20210408235A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with silicide gate fill structure
CN115104001A (zh) * 2020-06-29 2022-09-23 应用材料公司 根据基于机器学习的基板图像处理进行膜厚度估计
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
TWI773034B (zh) * 2020-12-18 2022-08-01 日月光半導體製造股份有限公司 監測設備之系統及方法
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US11881436B2 (en) * 2021-07-07 2024-01-23 Applied Materials, Inc. Pre and post processing metrology apparatus
US20230169643A1 (en) * 2021-11-30 2023-06-01 Applied Materials, Inc. Monitoring of deposited or etched film thickness using image-based mass distribution metrology

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006528428A (ja) 2003-07-22 2006-12-14 ラム リサーチ コーポレーション スペクトル反射率計の光信号の電子空間フィルタリングのための方法および装置
JP2012104532A (ja) 2010-11-08 2012-05-31 Disco Abrasive Syst Ltd 測定方法および測定装置
US20140333936A1 (en) 2013-05-10 2014-11-13 Industrial Technology Research Institute Thickness measuring system and method for a bonding layer
JP2015168015A (ja) 2014-03-05 2015-09-28 株式会社荏原製作所 研磨装置および研磨方法
US20170038201A1 (en) 2015-08-04 2017-02-09 GlobalFoundries, Inc. Measurement system and method for measuring in thin films
JP2020506558A (ja) 2017-02-08 2020-02-27 ケーエルエー コーポレイション 基板及び膜厚分布計測システム及び方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5018007A (en) * 1989-08-04 1991-05-21 Universal Applied Sciences Inc. Thin projection screen having a liquid crystal display screen overlaid a sheet of fiber optic cables
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US7304744B1 (en) * 1998-12-24 2007-12-04 Sharp Kabushiki Kaisha Apparatus and method for measuring the thickness of a thin film via the intensity of reflected light
US6284986B1 (en) 1999-03-15 2001-09-04 Seh America, Inc. Method of determining the thickness of a layer on a silicon substrate
US6791310B2 (en) 1999-03-15 2004-09-14 Therma-Wave, Inc. Systems and methods for improved metrology using combined optical and electrical measurements
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6826347B2 (en) * 2001-07-12 2004-11-30 Ngk Insulators, Ltd. Two-dimensional optical element array and two-dimensional waveguide apparatus
US6940592B2 (en) 2001-10-09 2005-09-06 Applied Materials, Inc. Calibration as well as measurement on the same workpiece during fabrication
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers
US7005306B1 (en) * 2003-07-11 2006-02-28 Nanometrics Incorporated Accurate thickness measurement of thin conductive film
JP2007040930A (ja) * 2005-08-05 2007-02-15 Ebara Corp 膜厚測定方法及び基板処理装置
US20070249071A1 (en) * 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
GB0719460D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
US8577820B2 (en) 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
JP5660026B2 (ja) * 2011-12-28 2015-01-28 信越半導体株式会社 膜厚分布測定方法
US20130203188A1 (en) 2012-02-03 2013-08-08 Globalfoundries Inc. Hybrid metrology for semiconductor devices
US9330985B2 (en) 2012-03-13 2016-05-03 GlobalFoundries, Inc. Automated hybrid metrology for semiconductor device fabrication
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
WO2016142214A2 (en) * 2015-03-11 2016-09-15 Asml Netherlands B.V. Method and apparatus for inspection and metrology
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006528428A (ja) 2003-07-22 2006-12-14 ラム リサーチ コーポレーション スペクトル反射率計の光信号の電子空間フィルタリングのための方法および装置
JP2012104532A (ja) 2010-11-08 2012-05-31 Disco Abrasive Syst Ltd 測定方法および測定装置
US20140333936A1 (en) 2013-05-10 2014-11-13 Industrial Technology Research Institute Thickness measuring system and method for a bonding layer
JP2015168015A (ja) 2014-03-05 2015-09-28 株式会社荏原製作所 研磨装置および研磨方法
US20170038201A1 (en) 2015-08-04 2017-02-09 GlobalFoundries, Inc. Measurement system and method for measuring in thin films
JP2020506558A (ja) 2017-02-08 2020-02-27 ケーエルエー コーポレイション 基板及び膜厚分布計測システム及び方法

Also Published As

Publication number Publication date
WO2019050801A1 (en) 2019-03-14
TW201928285A (zh) 2019-07-16
EP3679598A1 (en) 2020-07-15
IL273081B1 (en) 2023-04-01
IL273081A (en) 2020-04-30
US20190072482A1 (en) 2019-03-07
IL273081B2 (en) 2023-08-01
US10989652B2 (en) 2021-04-27
TWI782082B (zh) 2022-11-01
CN111066131A (zh) 2020-04-24
EP3679598B1 (en) 2022-07-20
EP3679598A4 (en) 2021-05-05
KR20200040309A (ko) 2020-04-17
JP2020533787A (ja) 2020-11-19
KR102609122B1 (ko) 2023-12-01

Similar Documents

Publication Publication Date Title
JP7274460B2 (ja) 光計測を質量計測と組合せるためのシステム及び方法
CN108140588B (zh) 用于半导体设备的匹配腔室性能的方法
US7531368B2 (en) In-line lithography and etch system
US7373216B1 (en) Method and apparatus for verifying a site-dependent wafer
TWI630465B (zh) 計算用於控制製程的修正之方法、度量衡設備、元件製造方法、及模型化方法
US7650200B2 (en) Method and apparatus for creating a site-dependent evaluation library
US7596423B2 (en) Method and apparatus for verifying a site-dependent procedure
US11353364B2 (en) Thermal imaging for within wafer variability feedforward or feedback information
TWI667532B (zh) 最佳化用於製造產品單元之製程序列
US9698065B2 (en) Real-time calibration for wafer processing chamber lamp modules
WO2021030833A1 (en) Model based control of wafer non-uniformity
TW201724336A (zh) 用以校正具有靜電夾頭之溫度值的有限數目感應器用純量場作用值與用以根據校正值估計溫度分布輪廓之系統與方法
TW202043750A (zh) 半導體裝置中參數穩定之錯位測量改善
TWI821475B (zh) 多工具參數集組態和偏移測量系統及方法
TWI381468B (zh) 線上微影及蝕刻系統
US20080241971A1 (en) Method and apparatus for performing a site-dependent dual patterning procedure
WO2024069684A1 (ja) 半導体デバイスの製造システム及び製造方法
TW202407140A (zh) 用於在基板製造期間調節膜沉積參數的方法和機制
TW202117880A (zh) 多工具參數集校準和偏移測量系統及方法
WO2001050496A1 (en) Automated high-density plasma (hdp) workpiece temperature control

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210827

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221018

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230418

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230501

R150 Certificate of patent or registration of utility model

Ref document number: 7274460

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150