JP2020533787A5 - - Google Patents

Download PDF

Info

Publication number
JP2020533787A5
JP2020533787A5 JP2020513515A JP2020513515A JP2020533787A5 JP 2020533787 A5 JP2020533787 A5 JP 2020533787A5 JP 2020513515 A JP2020513515 A JP 2020513515A JP 2020513515 A JP2020513515 A JP 2020513515A JP 2020533787 A5 JP2020533787 A5 JP 2020533787A5
Authority
JP
Japan
Prior art keywords
model
substrate
measurement
measurement system
mass
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020513515A
Other languages
English (en)
Other versions
JP7274460B2 (ja
JP2020533787A (ja
Filing date
Publication date
Priority claimed from US15/696,768 external-priority patent/US10989652B2/en
Application filed filed Critical
Publication of JP2020533787A publication Critical patent/JP2020533787A/ja
Publication of JP2020533787A5 publication Critical patent/JP2020533787A5/ja
Application granted granted Critical
Publication of JP7274460B2 publication Critical patent/JP7274460B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

上述のように、ツールによって実行される1つ又は複数のプロセスステップに応じて、コントローラは、他のツール回路又はモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接するツール、近くのツール、工場の至る所に位置するツール、メインコンピュータ、別のコントローラ、或いは、半導体製造工場内のツール位置及び/又はロードポートに向かって又はそこからウエハのコンテナを運ぶ材料搬送に使用されるツール、の中の1つ又は複数と通信してもよい。本開示は以下の適用例としても実現できる。
[適用例1]
基板処理のための計測システムであって、
光計測ステーションであって、
基板上の複数の測定位置からのスペクトルを測定するための複数の光センサ、
前記複数の光センサに接続される複数のファイバケーブル、及び
前記複数のファイバケーブルに選択的に接続される分光計
を含む、光計測ステーションと、
前記基板の質量又は質量変化の少なくとも一方を測定するための質量計測ステーションと、
コントローラであって、
前記複数の測定位置における厚さ値を、前記複数の測定位置からの前記スペクトル及び学習モデルに基づいて、生成するためのモデル化モジュール、及び
前記モデル化モジュールからの前記複数の測定位置における前記厚さ値、及び前記質量計測ステーションからの前記質量又は質量変化の少なくとも一方に基づいて、前記基板に関する空間厚さ分布モデルを生成するための空間モデル化モジュール
を含む、コントローラと、
を備える計測システム。
[適用例2]
適用例1に記載の計測システムであって、前記複数のファイバケーブルに其々接続される複数のシャッタを更に備え、前記複数のシャッタは、一度に複数のファイバケーブル中の1本から前記分光計に、順次スペクトルを出力するように構成される、計測システム。
[適用例3]
適用例1に記載の計測ステーションであって、前記学習モデルは、機械学習を使用して、生成される、計測ステーション。
[適用例4]
適用例3に記載の計測ステーションであって、前記機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む、計測ステーション。
[適用例5]
適用例1に記載の計測ステーションであって、前記学習モデルは、第一原理モデルに基づく、計測ステーション。
[適用例6]
適用例5に記載の計測ステーションであって、前記第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA:rigorous coupled−wave analysis)、又は時間領域差分法(FDTD:finite−difference time−domain)から成る群から選択される、計測ステーション。
[適用例7]
適用例1に記載の計測システムであって、前記基板は、複数のダイを含み、前記光センサは、複数の隣接するダイの部分からサンプルを生成するビーム径を有する、計測システム。
[適用例8]
適用例1に記載の計測システムであって、前記学習モデルは、複数のサンプル基板の複数の測定位置からの前記スペクトルを、前記複数のサンプル基板に関する前記複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、生成される、計測システム。
[適用例9]
適用例1に記載の計測システムであって、前記学習モデルは、主成分分析を実行することによって、生成される、計測システム。
[適用例10]
適用例1に記載の計測システムであって、前記空間モデル化モジュールは、前記質量又は質量変化の少なくとも一方を、回帰分析中の制約又は境界条件の少なくとも一方として使用する、計測システム。
[適用例11]
適用例1に記載の計測システムであって、前記学習モデルは、オートエンコーダを使用して、生成される、計測システム。
[適用例12]
適用例1に記載の計測システムであって、少なくとも1個の前記光センサの位置を調節するために、光センサ位置決め装置を更に備える、計測システム。
[適用例13]
適用例1に記載の計測システムであって、前記基板支持体の位置を調節するために、基板支持体位置決め装置を更に備える、計測システム。
[適用例14]
適用例1に記載の計測システムであって、前記複数の光センサは、前記基板の対向する表面からのスペクトルを測定する、計測システム。
[適用例15]
適用例1に記載の計測システムであって、前記空間モデル化モジュールは、フィルム処理前に前記基板の厚さに更に基づいて、前記基板に関する前記空間厚さ分布モデルを生成する、計測システム。
[適用例16]
基板処理のための計測を提供する方法であって、
基板上の複数の測定位置からのスペクトルを測定するために、複数の光センサを含む光計測ステーションを提供することと、
前記基板の質量又は質量変化の少なくとも一方を測定することと、
前記基板の前記複数の測定位置における厚さ値を、前記複数の測定位置からの前記スペクトル及び学習モデルに基づいて、生成することと、
前記複数の測定位置における前記厚さ値、及び前記質量又は質量変化の少なくとも一方に基づいて、前記基板に関する空間厚さ分布モデルを生成することと、
を備える、方法。
[適用例17]
適用例16に記載の方法であって、前記学習モデルは、機械学習を使用して生成される、方法。
[適用例18]
適用例17に記載の方法であって、前記機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む、方法。
[適用例19]
適用例16に記載の方法であって、前記モデルは、第一原理モデルに基づく、方法。
[適用例20]
適用例19に記載の方法であって、前記第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA)、又は時間領域差分法(FDTD)から成る群から選択される、方法。
[適用例21]
適用例16に記載の方法であって、前記基板は、複数のダイを含み、前記光センサは、複数の隣接するダイの部分からサンプルを生成するのに十分なビーム径を有する、方法。
[適用例22]
適用例16に記載の方法であって、複数のサンプル基板の複数の測定位置からの前記スペクトルを、前記複数のサンプル基板に関する前記複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、前記学習モデルを生成することを更に備える、方法。
[適用例23]
適用例16に記載の方法であって、主成分分析を実行することによって前記モデルを生成することを更に備える、方法。
[適用例24]
適用例16に記載の方法であって、前記質量又は質量変化の少なくとも一方を、前記空間厚さ分布モデルのための回帰分析中の制約又は境界条件の少なくとも一方として使用することを更に備える、方法。
[適用例25]
適用例16に記載の方法であって、オートエンコーダを使用して前記学習モデルを生成することを更に備える、方法。
[適用例26]
適用例16に記載の方法であって、前記基板に関して前記スペクトルを測定中に、少なくとも1個の前記光センサの位置を調節することを更に備える、方法。
[適用例27]
適用例16に記載の方法であって、前記基板に関して前記スペクトルを測定中に、前記基板を支持する基板支持体の位置を調節することを更に備える、方法。
[適用例28]
適用例16に記載の方法であって、前記基板の対向する表面からスペクトルを発生させる、方法。
[適用例29]
適用例16に記載の方法であって、
フィルム処理の前に前記基板の厚さを生成することと、
フィルム処理の前に前記基板の厚さに更に基づいて、前記基板に関する前記空間厚さ分布モデルを生成することと、
を更に備える、方法。

Claims (29)

  1. 基板処理のための計測システムであって、
    光計測ステーションであって、
    基板上の複数の測定位置からのスペクトルを測定するための複数の光センサ、
    前記複数の光センサに接続される複数のファイバケーブル、及び
    前記複数のファイバケーブルに選択的に接続される分光計
    を含む、光計測ステーションと、
    前記基板の質量又は質量変化の少なくとも一方を測定するための質量計測ステーションと、
    コントローラであって、
    前記複数の測定位置における厚さ値を、前記複数の測定位置からの前記スペクトル及び学習モデルに基づいて、生成するためのモデル化モジュール、及び
    前記モデル化モジュールからの前記複数の測定位置における前記厚さ値、及び前記質量計測ステーションからの前記質量又は質量変化の少なくとも一方に基づいて、前記基板に関する空間厚さ分布モデルを生成するための空間モデル化モジュールであって、前記質量は、前記空間厚さ分布モデルによって制約として使用される、空間モデル化モジュール
    を含む、コントローラと、
    を備える計測システム。
  2. 請求項1に記載の計測システムであって、前記複数のファイバケーブルに其々接続される複数のシャッタを更に備え、前記複数のシャッタは、一度に複数のファイバケーブル中の1本から前記分光計に、順次スペクトルを出力するように構成される、計測システム。
  3. 請求項1に記載の計測システムであって、前記学習モデルは、機械学習を使用して、生成される、計測システム
  4. 請求項3に記載の計測システムであって、前記機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む、計測システム
  5. 請求項1に記載の計測システムであって、前記学習モデルは、第一原理モデルに基づく、計測システム
  6. 請求項5に記載の計測システムであって、前記第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA:rigorous coupled−wave analysis)、又は時間領域差分法(FDTD:finite−difference time−domain)から成る群から選択される、計測システム
  7. 請求項1に記載の計測システムであって、前記基板は、複数のダイを含み、前記光センサは、複数の隣接するダイの部分からサンプルを生成するビーム径を有する、計測システム。
  8. 請求項1に記載の計測システムであって、前記学習モデルは、複数のサンプル基板の複数の測定位置からの前記スペクトルを、前記複数のサンプル基板に関する前記複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、生成される、計測システム。
  9. 請求項1に記載の計測システムであって、前記学習モデルは、主成分分析を実行することによって、生成される、計測システム。
  10. 請求項1に記載の計測システムであって、前記空間モデル化モジュールは、前記質量又は質量変化の少なくとも一方を、回帰分析中の制約又は境界条件の少なくとも一方として使用する、計測システム。
  11. 請求項1に記載の計測システムであって、前記学習モデルは、オートエンコーダを使用して、生成される、計測システム。
  12. 請求項1に記載の計測システムであって、少なくとも1個の前記光センサの位置を調節するために、光センサ位置決め装置を更に備える、計測システム。
  13. 請求項1に記載の計測システムであって、基板支持体の位置を調節するために、基板支持体位置決め装置を更に備える、計測システム。
  14. 請求項1に記載の計測システムであって、前記複数の光センサは、前記基板の対向する表面からのスペクトルを測定する、計測システム。
  15. 請求項1に記載の計測システムであって、前記空間モデル化モジュールは、フィルム処理前に前記基板の厚さに更に基づいて、前記基板に関する前記空間厚さ分布モデルを生成する、計測システム。
  16. 基板処理のための計測を提供する方法であって、
    基板上の複数の測定位置からのスペクトルを測定するために、複数の光センサを含む光計測ステーションを提供することと、
    前記基板の質量又は質量変化の少なくとも一方を測定することと、
    前記基板の前記複数の測定位置における厚さ値を、前記複数の測定位置からの前記スペクトル及び学習モデルに基づいて、生成することと、
    前記複数の測定位置における前記厚さ値、及び前記質量又は質量変化の少なくとも一方に基づいて、前記基板に関する空間厚さ分布モデルを生成することであって、前記質量は、前記空間厚さ分布モデルによって制約として使用される、ことと、
    を備える、方法。
  17. 請求項16に記載の方法であって、前記学習モデルは、機械学習を使用して生成される、方法。
  18. 請求項17に記載の方法であって、前記機械学習は、線形モデル、サポートベクタマシンモデル、決定木モデル、ランダムフォレストモデル及びガウスモデルから成る群から選択される教師あり学習モデルを含む、方法。
  19. 請求項16に記載の方法であって、前記モデルは、第一原理モデルに基づく、方法。
  20. 請求項19に記載の方法であって、前記第一原理モデルは、フレネルマルチビーム干渉、厳密結合波解析 (RCWA)、又は時間領域差分法(FDTD)から成る群から選択される、方法。
  21. 請求項16に記載の方法であって、前記基板は、複数のダイを含み、前記光センサは、複数の隣接するダイの部分からサンプルを生成するのに十分なビーム径を有する、方法。
  22. 請求項16に記載の方法であって、複数のサンプル基板の複数の測定位置からの前記スペクトルを、前記複数のサンプル基板に関する前記複数の測定位置に対するスタンドアロン計測ステーションによって生成された厚さデータ、限界寸法データ、深さデータ、及び物質密度データの中少なくとも1つと関連付けることによって、前記学習モデルを生成することを更に備える、方法。
  23. 請求項16に記載の方法であって、主成分分析を実行することによって前記モデルを生成することを更に備える、方法。
  24. 請求項16に記載の方法であって、前記質量又は質量変化の少なくとも一方を、前記空間厚さ分布モデルのための回帰分析中の制約又は境界条件の少なくとも一方として使用することを更に備える、方法。
  25. 請求項16に記載の方法であって、オートエンコーダを使用して前記学習モデルを生成することを更に備える、方法。
  26. 請求項16に記載の方法であって、前記基板に関して前記スペクトルを測定中に、少なくとも1個の前記光センサの位置を調節することを更に備える、方法。
  27. 請求項16に記載の方法であって、前記基板に関して前記スペクトルを測定中に、前記基板を支持する基板支持体の位置を調節することを更に備える、方法。
  28. 請求項16に記載の方法であって、前記基板の対向する表面からスペクトルを発生させる、方法。
  29. 請求項16に記載の方法であって、
    フィルム処理の前に前記基板の厚さを生成することと、
    フィルム処理の前に前記基板の厚さに更に基づいて、前記基板に関する前記空間厚さ分布モデルを生成することと、
    を更に備える、方法。
JP2020513515A 2017-09-06 2018-08-31 光計測を質量計測と組合せるためのシステム及び方法 Active JP7274460B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/696,768 US10989652B2 (en) 2017-09-06 2017-09-06 Systems and methods for combining optical metrology with mass metrology
US15/696,768 2017-09-06
PCT/US2018/049192 WO2019050801A1 (en) 2017-09-06 2018-08-31 SYSTEMS AND METHODS FOR COMBINING OPTICAL METROLOGY AND MASS METROLOGY

Publications (3)

Publication Number Publication Date
JP2020533787A JP2020533787A (ja) 2020-11-19
JP2020533787A5 true JP2020533787A5 (ja) 2021-10-07
JP7274460B2 JP7274460B2 (ja) 2023-05-16

Family

ID=65517215

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020513515A Active JP7274460B2 (ja) 2017-09-06 2018-08-31 光計測を質量計測と組合せるためのシステム及び方法

Country Status (8)

Country Link
US (1) US10989652B2 (ja)
EP (1) EP3679598B1 (ja)
JP (1) JP7274460B2 (ja)
KR (1) KR102609122B1 (ja)
CN (1) CN111066131A (ja)
IL (1) IL273081B2 (ja)
TW (1) TWI782082B (ja)
WO (1) WO2019050801A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114466728A (zh) * 2019-07-26 2022-05-10 朗姆研究公司 用于自动化晶片搬运机械手教导与健康检查的整合适应性定位系统及例程
WO2021030833A1 (en) * 2019-08-09 2021-02-18 Lam Research Corporation Model based control of wafer non-uniformity
DE102020100565A1 (de) * 2020-01-13 2021-07-15 Aixtron Se Verfahren zum Abscheiden von Schichten
US11353364B2 (en) 2020-03-02 2022-06-07 Lam Research Corporation Thermal imaging for within wafer variability feedforward or feedback information
US20210408235A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with silicide gate fill structure
WO2022005916A1 (en) * 2020-06-29 2022-01-06 Applied Materials, Inc. Film thickness estimation from machine learning based processing of substrate images
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
TWI773034B (zh) * 2020-12-18 2022-08-01 日月光半導體製造股份有限公司 監測設備之系統及方法
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US11881436B2 (en) * 2021-07-07 2024-01-23 Applied Materials, Inc. Pre and post processing metrology apparatus
US20230169643A1 (en) * 2021-11-30 2023-06-01 Applied Materials, Inc. Monitoring of deposited or etched film thickness using image-based mass distribution metrology

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5018007A (en) * 1989-08-04 1991-05-21 Universal Applied Sciences Inc. Thin projection screen having a liquid crystal display screen overlaid a sheet of fiber optic cables
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US7304744B1 (en) * 1998-12-24 2007-12-04 Sharp Kabushiki Kaisha Apparatus and method for measuring the thickness of a thin film via the intensity of reflected light
US6284986B1 (en) 1999-03-15 2001-09-04 Seh America, Inc. Method of determining the thickness of a layer on a silicon substrate
US6791310B2 (en) 1999-03-15 2004-09-14 Therma-Wave, Inc. Systems and methods for improved metrology using combined optical and electrical measurements
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6826347B2 (en) * 2001-07-12 2004-11-30 Ngk Insulators, Ltd. Two-dimensional optical element array and two-dimensional waveguide apparatus
US6940592B2 (en) * 2001-10-09 2005-09-06 Applied Materials, Inc. Calibration as well as measurement on the same workpiece during fabrication
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers
US7005306B1 (en) * 2003-07-11 2006-02-28 Nanometrics Incorporated Accurate thickness measurement of thin conductive film
US20050020073A1 (en) * 2003-07-22 2005-01-27 Lam Research Corporation Method and system for electronic spatial filtering of spectral reflectometer optical signals
JP2007040930A (ja) * 2005-08-05 2007-02-15 Ebara Corp 膜厚測定方法及び基板処理装置
US20070249071A1 (en) 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
GB0719460D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
JP5681452B2 (ja) * 2010-11-08 2015-03-11 株式会社ディスコ 測定方法および測定装置
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
JP5660026B2 (ja) * 2011-12-28 2015-01-28 信越半導体株式会社 膜厚分布測定方法
US20130203188A1 (en) 2012-02-03 2013-08-08 Globalfoundries Inc. Hybrid metrology for semiconductor devices
US9330985B2 (en) 2012-03-13 2016-05-03 GlobalFoundries, Inc. Automated hybrid metrology for semiconductor device fabrication
US20140333936A1 (en) * 2013-05-10 2014-11-13 Industrial Technology Research Institute Thickness measuring system and method for a bonding layer
JP6293519B2 (ja) * 2014-03-05 2018-03-14 株式会社荏原製作所 研磨装置および研磨方法
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
WO2016142214A2 (en) * 2015-03-11 2016-09-15 Asml Netherlands B.V. Method and apparatus for inspection and metrology
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
WO2017021968A1 (en) * 2015-08-04 2017-02-09 Nova Measuring Instruments Ltd. Hybrid measurement system and method for measuring in thin films
US10236222B2 (en) * 2017-02-08 2019-03-19 Kla-Tencor Corporation System and method for measuring substrate and film thickness distribution

Similar Documents

Publication Publication Date Title
JP2020533787A5 (ja)
IL273081B2 (en) Systems and methods for combining optical metrology with mass metrology
KR102220435B1 (ko) 웨이퍼에 걸친 파라미터 변동들에 기초한 측정 모델 최적화
KR102254033B1 (ko) 광학 측정 방법 및 광학 측정 시스템
JP5162778B2 (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
TWI589836B (zh) 用於半導體結構分析之方法、系統及非暫時性機器可存取儲存媒體
CN103403724B (zh) 用于基于库的临界尺寸cd计量的精确和快速的神经网络训练
US10354929B2 (en) Measurement recipe optimization based on spectral sensitivity and process variation
US10146140B2 (en) Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
CN101286047B (zh) 利用支持向量机控制制造工具
JP5142370B2 (ja) スペクトルの改善を利用して光計測装置を一致させる方法
CN107408519A (zh) 基于模型的单个参数测量
TWI266042B (en) Method to determine the value of process parameters based on scatterometry data
US7428044B2 (en) Drift compensation for an optical metrology tool
US11101153B2 (en) Parameter-stable misregistration measurement amelioration in semiconductor devices
TW201350839A (zh) 具有組合x光及光學散射計之計量工具
US20150134286A1 (en) Method for quantification of process non-uniformity using model-based metrology
CN113348358B (zh) 用于大量生产过程监视的宽松耦合检验及计量系统
KR20150092936A (ko) 광학 측정 방법 및 광학 측정 장치
TWI451510B (zh) 半導體基板中成分之非接觸性評估的裝置及方法
SG11201806275VA (en) Light-spot distribution structure, surface shape measurement method, and method for calculating exposure field-of-view control value
Zhang et al. Critical Dimension Measurement Using OCD Spectroscopy for Gate and STI AEI Structures
TWI598176B (zh) 加工誤差預測方法
Choe Application of Normality Test and Classification of Process Capability Index