JP7168281B2 - 超高密度集積回路における位置合わせされたビアを作成する方法 - Google Patents

超高密度集積回路における位置合わせされたビアを作成する方法 Download PDF

Info

Publication number
JP7168281B2
JP7168281B2 JP2018160009A JP2018160009A JP7168281B2 JP 7168281 B2 JP7168281 B2 JP 7168281B2 JP 2018160009 A JP2018160009 A JP 2018160009A JP 2018160009 A JP2018160009 A JP 2018160009A JP 7168281 B2 JP7168281 B2 JP 7168281B2
Authority
JP
Japan
Prior art keywords
layer
forming
etching
cap
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018160009A
Other languages
English (en)
Other versions
JP2019062192A (ja
Inventor
チャン ルンジ
シェ ミン
Original Assignee
マーベル アジア ピーティーイー、リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マーベル アジア ピーティーイー、リミテッド filed Critical マーベル アジア ピーティーイー、リミテッド
Publication of JP2019062192A publication Critical patent/JP2019062192A/ja
Application granted granted Critical
Publication of JP7168281B2 publication Critical patent/JP7168281B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67138Apparatus for wiring semiconductor or solid state device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

[関連出願の相互参照] 本出願は、2017年9月25日に出願された米国仮特許出願第62/562,846号の利益を主張するものである。上記参照された出願の全開示は、参照により本明細書に組み込まれる。
本開示は、集積回路の製造に関連し、より具体的には、超高密度集積回路におけるビアの形成に関連する。
本明細書に提供された背景技術の説明は、本開示の文脈を一般的に示す目的のものである。ここで名前を挙げられた発明者の研究の研究の範囲は、本背景技術の項目において説明され、ならびに出願時に先行技術として認定され得ない限り、明細書の態様は、本開示に対して先行技術として明示的にも、または黙示的のにも認められない。
特定のメモリチップなどの超高密度集積回路(UHDIC)の製造の間、金属ラインおよびビアが、さまざまな導電性接続を提供するよう形成される。金属ラインとビアとの間のピッチは、通常、UHDICの導電素子間の最小のピッチである。金属ラインおよびビアは、デュアルダマシンプロセスを用いて形成され得る。デュアルダマシンプロセスの間、マスクが金属ラインおよびビアのために形成される。ビア用のマスクは、金属ライン用のマスクが重なり得る。処理エラー、系統的なシフト、および/またはノイズに起因して、ビア用のマスクは、金属ライン用のマスクと位置合わせされない場合がある。結果として、1つまたは複数のビアは、金属ラインの1つまたは複数の近くに離間される場合があり、したがって、金属ラインとビアとの間の最小ピッチをさらに小さくする。
このマスク層間の重ね合わせエラーは、金属ラインおよびそれぞれのビアを示す図1A~図2Bによって図示される。図1Aおよび図1Bは、金属ライン104、106が延伸する方向に、それぞれのビア100、102の2つの対向する面108、110がそれぞれの金属ラインの2つの対向する面112、114と位置合わせされたするように、2つの金属ライン104、106と位置合わせされた2つのビア100、102を示す。ビア100、102は、金属ライン104、106の端部116と、118との間に、金属ライン104に沿って配設される。2つの金属ライン104、106は、VddおよびVssネット(またはレール)であり、同じMx層内にある。第1の金属ライン104は、電圧源に接続され、電圧Vddになってよい。第2金属ライン106は、基準端子(またはグランド)に接続され、電圧Vssを有してよい。第1ビア100は、図示されるように、Mx-1層内にあり得る相互接続ライン(図示せず)に示されるように接続されてよい。位置合わせされた金属ライン104、106およびビア100、102は、関連する金属ライン-ビア間ピッチS(すなわち、金属ライン104、106のそれぞれと、金属ライン104、106のうち他方の1つと接続されているビア100、102のうち対応する1つとの間の距離)を有する。
図2Aおよび図2Bは、2つの金属ライン204、206に対して位置合わせがずれている2つのビア200、202を示す。図2Aは、金属ライン204、206からずれて関連する金属ライン-ビア間ピッチS'を有するビア200、202を示す。金属ライン204、206は、同じMx層内にある。第1のビア200は、電圧源に接続され、電圧Vddになってもい。第2のビア202は、基準端子(またはグランド)に接続され、電圧Vssを有してよい。第1のビア200は、Mx-1層内にあり得る、相互接続ライン(図示せず)に接続されてよい。
UHDICは、通常、回路素子間のピッチを最小化するよう設計される。これは、金属ラインとビアとの間の間隔を最小化することを含む。金属ラインとビアとの間の間隔は、フォトリソグラフィの解像限界に基づいて設定されてよい。間隔を最小化することは、関連するチップ面積を最小化することである。しかしながら、上述のマスク層間の重ね合わせエラーに起因して、間隔は、さらに特定のエリアにおいて縮小され得る。ディープサブ100ナノメーター(nm)プロセスにおいて、マスクの重ね合わせは、金属ライン-ビア間エッジの配置エラーの大部分を占める。VddおよびVss金属ラインとビアとの間の縮小された間隔は、回路素子間のショートおよび/または金属ラインとビアとの間の誘電体材料の経時的な破壊をもたらし得る。ショートは、機能性故障もたらし得る。回路素子間の誘電体が経時的に破壊(絶縁膜経時破壊(TDDB)と称される)した場合信頼性の問題が現れる。
集積回路において、金属ラインと位置合わせされたビアを形成する方法が提供される。本方法は、誘電体層と、キャップ層と、ハードマスク層と、第1のフィルム層と、第1のフォトレジスト層とを含む第1の層を含む積層を形成する段階と、金属ラインマスクを提供するよう第1のフォトレジスト層をパターニングする段階と、ハードマスク層内の金属ラインマスクを形成するよう、パターニングされた第1のフォトレジスト層に基づいてハードマスク層をエッチングする段階と、第1のフォトレジスト層および第1のフィルム層をアッシングする段階と、第2のフィルム層と、第2のフォトレジスト層とを含む第2の層をハードマスク層上に形成する段階とを含む。本方法は、さらに、金属ラインマスクの対向する両面を横切って延伸するビアマスクを形成するよう第2のフォトレジスト層をパターニングする段階と、パターニングされた第2のフォトレジスト層に基づいて第2のフィルム層およびキャップ層をエッチングする段階と、第2のフォトレジスト層および第2のフィルム層をアッシングする段階と、ビア領域および金属ライン領域を提供するようハードマスク層のパターンに基づいて誘電体層およびキャップ層をエッチングする段階と、ハードマスク層およびキャップ層をエッチングする段階と、ビア領域および金属ライン領域にビアおよび金属ラインを形成するよう、デュアルダマシンプロセスのオペレーションを実行する段階とを含む。
他の特徴において、基板を処理し、集積回路内に金属ラインと位置合わせされたビアを形成するために処理システムが提供される。処理システムは、プロセッサと、メモリと、当該メモリに格納され、且つ命令を含む1つまたは複数のアプリケーションとを含む。本命令は、プロセッサによって、誘電体層と、キャップ層と、ハードマスク層と、第1のフィルム層と、第1のフォトレジスト層とを含む第1の層を含む積層体を形成することと、金属ラインマスクを提供するよう第1のフォトレジスト層をパターニングすることと、ハードマスク層内に金属ラインマスクを形成するようパターニングされた第1のフォトレジスト層に基づいてハードマスク層をエッチングすることと、第1のフォトレジスト層および第1のフィルム層をアッシングすることとを行うように実行可能である。本命令は、さらに、第2のフィルム層と、第2のフォトレジスト層とを含む第2の層をハードマスク層上に形成することと、金属ラインマスクの対向する両面を横切って延伸するビアマスクを形成するよう第2のフォトレジスト層をパターニングすることと、パターニングされた第2のフォトレジスト層に基づいて第2のフィルム層およびキャップ層をエッチングすることと、第2のフォトレジスト層および第2のフィルム層をアッシングすることと、ビア領域および金属ライン領域を提供するようハードマスク層のパターンに基づいて、誘電体層およびキャップ層をエッチングすることと、ハードマスク層およびキャップ層をエッチングすることと、ビア領域内および金属ライン領域内にビアおよび金属ラインを形成するよう、デュアルダマシンプロセスのオペレーションを実行することとを行うように実行可能である。
本開示の利用可能なさらなる領域が、詳細な説明、特許請求の範囲、および図面から明らかになるであろう。詳細な説明および具体的な実施例は、説明の目的のみを意図するものであり、本開示の範囲を限定する意図はない。
位置合わせされた金属ラインとビアとを含むICの一部分の上面図である。
図1Aの断面線A-A'に沿った断面図である。
位置がずれた金属ラインおよびビアの上面図である。
図2Aの断面線A-A'に沿った断面図である。
本開示の一実施形態に従ってICの位置合わせされたビアを形成する方法を図示する。
本開示の一実施形態に従って形成されているICの相互接続層の一部分の一例において図4Bの断面線A-A'に沿った断面図および相互接続である。
図4Aに対応する相互接続層の一部分の一例であり、図4Aの断面と垂直な方向から見た断面図である。
図4Aの相互接続層上に例示的なエッチングストップ層、誘電体層、キャップ層、およびハードマスク層を形成した状態を図示する図5Aの断面線A-A'に沿った断面図である。
図5Aの相互接続層、エッチングストップ層、誘電体層、キャップ層、およびハードマスク層に沿って、図5Aの断面と垂直な方向から見た断面図である。
本開示の一実施形態に従って、金属ラインマスクを提供するよう、図5A~図5Bのハードマスク層の上に第1のフォトレジスト層を一例として形成した状態を図示する上面図である。
図6Aの断面線A-A'に対応し、本開示の一実施形態に従って、第1のパターニングフィルム層および金属ラインマスクを含む第1のフォトレジスト層を一例として形成した状態を図示する断面図である。
図6Aの断面線B-B'に対応し、本開示の一実施形態に従って、図6Bの金属ラインマスクのうち1つを形成した状態を図示する断面図である。
本開示の一実施形態に従って、エッチングされた図5A~図5Bのハードマスク層の一例を図示する上面図である。
本開示の一実施形態に従って、図7Aの断面線A-A'に対応し、本開示の一実施形態に従って、エッチングされたハードマスク層および金属ラインマスクと、パターニングレジスト層およびフォトレジスト層の残りの部分をエッチングで除去した状態とをエッチングされたことを図示する断面図である。
図7Aの断面線B-B'に対応し、本開示の一実施形態に従って、エッチングされたハードマスク層と、金属ラインマスクのうち1つと、第1のパターニングフィルム層および第1のフォトレジスト層の残りの部分をエッチングで除去した状態とを図示する断面図である。
本開示の一実施形態に従って、大型のビアマスクを提供するよう、図7B~図7Cのエッチングされたハードマスク層の上に第2のフォトレジスト層を一例として形成した状態を図示する上面図である。
図8Aの断面線A-A'に対応し、本開示の一実施形態に従って、第2のパターニングフィルム層および図7B~図7Cのエッチングされたハードマスク層上の大型のビアマスクのうち1つを含む図8Aの第2のフォトレジスト層の形成の実施例を図示する断面図である。
図8Aの断面線B-B'に対応し、本開示の一実施形態に従って、大型のビアマスクのうち1つを形成した状態を図示する断面図である。
本開示の一実施形態に従って、エッチングした後の図8B~図8Cのパターニングフィルム層を図示する上面図である。
図9Aの断面線A-A'に対応し、エッチングした後の図8B~図8Cの第2のパターニングフィルム層およびキャップ層を図示する断面図である。
図9Aの断面線B-B'に対応し、エッチングした後の図8B~図8Cの第2のパターニングフィルム層およびキャップ層を図示する断面図である。
図9B~図9Cのエッチングされたハードマスク層を図示する上面図である。
図10Aの断面線A-A'に対応し、本開示の一実施形態に従って、図9B~図9Cの第2のパターニングフィルム層および第2のフォトレジスト層がアッシングして離された状態を図示する断面図である。
図10Bの断面線A-A'に対応し、本開示の一実施形態に従って、図9B~図9Cの第2のパターニングフィルム層および第2のフォトレジスト層がアッシングして離された状態を図示する断面図である。
図9B~図9Cのエッチングされたハードマスク層の上面図である。
図11Aの断面線A-A'に対応し、本開示の一実施形態に従って、エッチングされた誘電体層を図示する断面図である。
図11Aの断面線B-B'に対応し、本開示の一実施形態に従って、エッチングされた誘電体層を図示する断面図である。
図9B~図9Cのエッチングされたハードマスク層の上面図である。
図12Aの断面線A-A'に対応し、本開示の一実施形態に従って、エッチングされたキャップ層を図示する断面図である。
図12Aの断面線B-B'に対応し、本開示の一実施形態に従って、エッチングされたキャップ層を図示する断面図である。
図9B~図9Cのエッチングされたハードマスク層の上面図である。
図13Aの断面線A-A'に対応し、本開示の一実施形態に従って、エッチングされた誘電体層を図示する断面図である。
図13Aの断面線B-B'に対応し、本開示の一実施形態に従って、エッチングされた誘電体層を図示する断面図である。
本開示の一実施形態に従って、金属ライン用のライン開口部を図示する誘電体層の上面図である。
断面図であり、本開示の一実施形態に従って、エッチングされたエッチングストップ層を図示する図13B~図13Cの誘電体層、エッチングストップ層、および相互接続層である。
図14Bの断面を垂直な方向から見た図13B~図13Cの誘電体層、エッチングストップ層、および相互接続層の断面図であり、本開示の一実施形態に従って、エッチングされたエッチングストップ層を図示する。
誘電体層の上面図であり、本開示の一実施形態に従って、バリア層、シード層および電気めっき層を形成し、金属ラインを設けた状態を図示する。
図14A~図14Cの誘電体層、エッチングストップ層および相互接続層の断面図であり、本開示の一実施形態に従って、形成されたバリア層、シード層および電気めっき層と、特定の層を化学機械平坦化することで提供される平坦化された最上面とを図示する。
図15Bの断面を垂直な方向から見た図14A~図14Cの誘電体層、エッチングストップ層、および相互接続層の断面図であり、本開示の一実施形態に従って、形成されたバリア層、シード層および電気めっき層と、特定の層を化学機械平坦化することで提供される平坦化された最上面とを図示する。
本開示の一実施形態に従って、形成される金属ラインおよび位置合わせされたビアを含むICの一部分の一例である。
本開示の一実施形態に従って、形成される6トランジスタ構成のスタティックランダムアクセスメモリセルの一例である。
本開示の一実施形態に従って、図3の方法を実行するよう構成された処理システムの一例である。
本開示の一実施形態に従う制御モジュールの実施例である。
図面において、参照番号は、同様および/または同一の要素を特定するために再利用され得る。
金属ラインとビアとの間隔と関連する機能性および信頼性の問題は、金属ラインとビアとの間の設計間隔(またはピッチ)を増加させることで、防止され得る。これは、しかしながら、回路素子によって利用される面積を増大させ得、関連するICのサイズおよびコストを増大させ得、設計において追加の必要条件を導入させ得、且つ重ね合わせ管理およびロジスティックの複雑さを増大させ得る。
本明細書に記載の実施例は、ビアの積層部分を位置合わせさせ、ビアを金属ラインに位置合わせさせる方法を含む。本方法は、ハードマスク層を導入する段階と、従来のビアマスク層とは異なるよう、パターニングされ形成されたビアマスク層を提供する段階と、他の独自の処理オペレーションとを含む。本方法は、金属ラインとビアとの間の不整合のずれを排除し、こうして、金属ラインとビアとの間の対応するピッチが最小化されることを可能にする。ピッチは、フォトリソグラフィの解像限界まで最小化され得る。結果として、チップサイズ、コスト、ならびに対応する機能性および信頼性の問題が最小限度に抑えられる。本方法は、金属ラインとビアとの(または金属と金属との)間隔に影響を与えることなく、ビアマスクの寸法を決定し、調節する段階を含む。ビアマスクは、対応する金属ラインが延伸する方向と垂直な方向に大型化されている。これは、位置合わせされたビアを形成するための誘電体層を適切にエッチングするために、ハードマスク層のエッチングで除去された部分の上のパターニングフィルム層の除去を確実なものにする。
図3は、位置合わせされたビアおよび/または他のICの導電素子を形成する方法を図示する。本方法は、デュアルダマシンプロセスの一種として称されることがあるが、デュアルダマシンプロセスの間に従来実行されていないオペレーションを含み得る。本方法は、図18の例示的な処理システム、または別の適切な処理システムによって実行され得る。オペレーションは、図18~図19の制御モジュールによって制御され、時間が計測され得る。本方法は、ICおよび/またはICの対応する層の製造の間に実行され得る。一実施形態において、本方法は、ビアの部分を位置合わせされたし、ビアを金属ラインに位置合わせする段階を含む。本方法は、位置合わせされたビアを提供するようビアマスクおよび積層体を形成し、成形する段階を含む。本方法は、位置合わせされたビアを提供する一方で、ビアマスクの重ね合わせエラーを許容し、これにより、ICの製造の間にチップおよびシステムの歩留まりロスを減少させる。本方法の間に実行され得る少なくともいくつかのオペレーションが、図4A~図15Bに図示される。
本方法は、300にて開始し得る。302にてビアおよび/または金属ラインへ延伸し得る相互接続層、および/または他の層、および/または終端が形成され得る。図4A~図4Bは、形成中のICの相互接続層の部分400を示す。部分400は、相互接続402、404を含む。相互接続402、404は、誘電体材料406によって分離される。
304にて、エッチングストップ層500と、誘電体層502と、キャップ層504と、ハードマスク層506とを含む複数の非導電層が、302にて相互接続層に一例として形成される。図5A~図5Bは、図4Aの部分400上に層500、502、504および506を形成した状態を示す。誘電体層502は、低誘電率(low‐k)の誘電膜でよい。一実施形態において、誘電体層502の誘電率kは、1ファラド毎メートル(F/m)よりも大きく、2.7(F/m)よりも小さい。一例として、誘電体層502は、炭素ドープ酸化シリコンSiO2で形成され得る。キャップ層504は、誘電体層502と異なる材料で形成される誘電膜層であってよい。一例として、キャップ層504は、窒化シリコンSi3N4で形成され得る。一例として、ハードマスク層506は、窒化チタニウムTiNで形成され得る。
306にて、第1のパターニングフィルム層600および第1のフォトレジスト層602が形成される。図6A~図6Cは、図5A~図5Bのハードマスク層上に金属ラインマスク604を含む層600、602を形成した状態を示す。金属ラインマスク604は、フォトレジスト層602の部分606の間の開口領域である。一実施形態において、パターニングフィルム層600が、アモルファスシリコンで形成され、および/または反射防止塗膜で形成される。フォトレジスト層602は、回転塗布されてよく、フォトリソグラフィを用いてパターニングされ、金属ラインマスク604(トレンチとして示される)が設けられる。金属ラインマスク604は、ハードマスク層506をエッチングするために次のオペレーションで用いられる。
308にて、第1のパターニングフィルム層600およびハードマスク層506の露出した部分は、金属ラインマスク604の下方のエリアにおいて、エッチング材料(例えば、テトラフルオロメタン(CF4)-酸素(O2)プラズマ)の第1の組成を用いてエッチングされ、ハードマスク層506内の金属ライン開口部(またはマスク)700を提供する。開口部700は、ハードマスク層506の部分702の間にある。エッチングは、キャップ層504の上面で停止する。310にて、第1のパターニングフィルム層600の残りの部分および第1のフォトレジスト層602は、アッシングで除去される。図7A~図7Cは、エッチングされたハードマスク層506および金属ラインマスク604ならびに第1のパターニングフィルム層600および第1のフォトレジスト層602の残りの部分をエッチングで除去した状態を示す。
312にて、第2のパターニングフィルム層800および第2のフォトレジスト層802は、ハードマスク層506およびキャップ層504上に形成される。図8A~図8Cは、図7B~図7Cのエッチングされたハードマスク層506上に大型のビアマスク804を含む第2のパターニングフィルム層800および第2のフォトレジスト層802を形成した状態を示す。第2のパターニングフィルム層800は、アモルファスシリコンおよび/または反射防止塗膜で形成され得る。第2のフォトレジスト層802は、回転塗布されてよく、フォトリソグラフィを用いて、パターニングされ、ビアマスク804が設けられる。ビアマスク804は、大型になっており、第2のパターニングフィルム層800およびキャップ層504をエッチングするために次のオペレーションに用いられる。ビアマスク804は、第2のフォトレジスト層802の部分806の間の開口領域である。ビアマスク804は、ハードマスク層506内のそれぞれの開口部に対してセンタリングされていてもいなくてよい。例えば、ビアマスク804'は、ハードマスク層506内の開口部807に対してセンタリングされていないものとして、図8Bに示される。図8Bに示されるように、ビアマスク804'は、開口部807の中心線809の右へずれている。ビアマスク804の大型化によって、ハードマスク層506内の対応する金属ラインマスクに対するビアマスク804のセンタリングのずれが許容される。
ビアマスク804は、ラインマスク700の対向する両面808を超えて延伸して形成される。それぞれのビアマスク804は、金属ラインマスクの1つと垂直に、且つその金属ラインマスクの対向するそれぞれの面808(またはエッジ)を越えて延伸する。ビアマスク804は、図2Aおよび図2Bに示される不良など、ビアアライメントのずれに影響されやすい方向に延伸する。示されるように、それぞれのビアマスク804は、金属ラインマスクのうち1つにわたって、ハードマスク層506の一部の上方に延伸し得る。一実施形態において、ビアマスク804は、0よりも大きく、金属ラインマスク間(すなわち、作成される金属ライン間のピッチ)の距離Sの50%よりも小さい、または50%に等しい分、面808を超えて延伸する。ビアマスクは、形成中のIC内の対象となるビアの領域を開口する。このプロセスによって、デュアルダマシンプロセスのオペレーションの間に重ね合わせエラーの許容範囲(または重ね合わせエラーに対する余分のマージン)が設けられる。
314にて、第2のパターニングフィルム層800およびキャップ層504の露出した部分は、312にて形成されたビアマスクに続いてパターニングされた第2のフォトレジスト層802および第2のパターニングフィルム層800に基づいて異方的にエッチングされる。異方的にエッチングすることは、鉛直方向(または、例えば、層500、502、504および506のうち隣接する2つの層の間に延伸する平面に垂直な方向)のみの指向性プラズマドライエッチングを含む。このエッチングは、第1の組成とは異なる第2の組成のエッチング材料(例えば、3フッ化窒素(NF3)-酸素(O2)プラズマ)の使用を含み得る。図9A~図9Cは、エッチングされた状態における図8B~図8Cのパターニングフィルム層800およびキャップ層504を示す。異方性エッチングによって、第2のパターニングフィルム層800に大型のビア開口部(またはマスク)900が設けられ、キャップ層504内にビア開口部902が設けられる。エッチングされたハードマスク層506のパターニングは、キャップ層504内のビア開口部902を位置合わせされたすることに用いられる。エッチングは、誘電体層502の最上面にて停止する。キャップ層504は、第2のパターニングフィルム層800および第2のフォトレジスト層802によって覆われたハードマスク層506内の開口部(またはマスク)の下方の領域(例えば、領域904)では、エッチングされない。
316にて、第2のフォトレジスト層802および第2のパターニングフィルム層800は、アッシングで除去される。図10A~図10Cは、図9B~図9Cの第2のパターニングフィルム層800および第2のフォトレジスト層802がハードマスク層506から除去された状態を示す。層502、504および506は、このアッシングプロセスの実行の後も残る。
318にて、誘電体層502の露出した部分は、ハードマスク層506およびキャップ層504のパターンに基づいて異方的にエッチングされる。図11A~図11Cは、異方的にエッチングされた誘電体層502を示す。ハードマスク層506およびキャップ層504は、それぞれマスク層として用いられる。ハードマスク層506は、第1のマスク層と称される場合があり、キャップ層504は、第2のマスク層と称される場合がある。示されるように、誘電体層502は、ハードマスク層506およびキャップ層504の前に除去された領域の下方の領域において異方的にエッチングされる。第3の組成のエッチング材料(例えば、フルオロホルム(CHF3)プラズマ)が、誘電体層502をエッチングすることに用いられる。第3の組成は、第1の組成および第2の組成と異なり得る。これらの層の積層体は、このオペレーションの間にある深さまでエッチングされる。その結果、対応するトレンチの深さDは、形成されるビアの高さHからハードマスク層506の厚さTを引いたものに等しい。深さDおよび厚さTは、図11Aに示され、高さHは、図14Cに示される。
320にて、キャップ層504の露出した部分が、ハードマスク層506のパターンに基づいて異方的にエッチングされる。第4の組成のエッチング材料(例えば、3フッ化窒素(NF3)-酸素(O2)-アルゴン(Ar)プラズマ)が、キャップ層504をエッチングすることに用いられ得る。図12A~図12Cは、エッチングで除去された追加の部分を有するキャップ層504を示す。エッチング材料の第4の組成は、第1の組成、第2の組成、および第3の組成と異なっていてよい。このエッチングによって、キャップ層504に作成された開口部は、1200で表記される。誘電体層502は、このオペレーションの間にエッチングされない。
322にて、誘電体層502は、さらに、ハードマスク層506およびキャップ層504のパターンに基づいてエッチングされる。図13A~図13Cは、このエッチングの後の誘電体層502を示す。第5の組成のエッチング材料(例えば、フルオロホルム(CHF3)プラズマ)が、誘電体層502をエッチングすることに用いられ得る。第5の組成は、エッチング材料の第3の組成と一致してよく、または異なっていてよい。第5の組成は、第1の組成および第2の組成とは異なり得る。誘電体層502は、ビア領域1300がエッチングストップ層500の最上面に到達するまでエッチングされる。この誘電体層502のエッチングは、また、後のオペレーションの間に金属ラインを形成するための、開口領域1302などの開口領域を提供する。
324にて、エッチングストップ層500は、ハードマスク層506のパターン、キャップ層504のパターン、および/または誘電体層502のパターンに基づいて異方的にエッチングされ、ビア領域を延伸する。第6の組成のエッチング材料の(例えば、ヘキサフルオロエタン(C2F6)-酸素(O2)-アルゴン(Ar)プラズマ)が、このエッチングプロセスを実行することに用いられ得る。図14A~図14Cは、図13B~図13Cの誘電体層502、エッチングストップ層500、および相互接続層402を示し、エッチングの後のエッチングストップ層を図示するする。エッチング材料の第6の組成は、第1の組成、第2の組成、第3の組成、第4の組成、および第5の組成と異なっていてよい。326にて、ハードマスク層506およびキャップ層504は、エッチングで除去される。これは、第7の組成のエッチング材料(例えば、テトラフルオロメタン(CF4)-酸素(O2)プラズマ)、次いで第8の組成のエッチング材料(例えば、3フッ化窒素(NF3)-酸素(O2)プラズマ)の適用を含み得る。第7の組成および第8の組成は、第1の組成、第2の組成、第3の組成、第4の組成、第5の組成、および第6の組成と異なっていてよい。誘電体層502は、オペレーション324および326の間にはエッチングされない。
オペレーション328は、単一のオペレーションとして示されるが、デュアルダマシンプロセスを完了するよう実行される複数のオペレーションを含む。328にて、バリア層1500(例えば、窒化チタニウムTiNの層)、シード層1502、および電気めっき層1504が、ビア領域1300および金属ライン領域1302に形成される。図15A~図15Cは、図14A~図14Cの誘電体層502、エッチングストップ層500、および相互接続層402を示し、層1500、1502、1504の形成と、誘電体層502および電気めっき層1504の化学機械平坦化(CMP)を図示する。シード層1502は、バリア層1500の上に形成され得る。その後、電気めっきが行われ、バリア層1500およびシード層1502が充填されない、ビア領域1300および金属ライン領域1302の残りの部分が充填され、電気めっき層1504が設けられる。シード層1502および電気めっき層1504は、同じまたは異なる材料、および/または材料の組成で形成され得る。電気めっきに続いて、生じた積層物の上部を除去し、全体に平坦な最上面1506を提供するためにCMPが実行され得る。生じた積層物は、金属ライン1510とビア1512とを含む。
図14B~図14Cに示すように、提供される生じた積層物は、シード層1502および電気めっき層1504の形成によって、予め決定された最小ピッチSを有する金属ライン領域およびビアの形成を可能とする金属ライン領域とビア領域との間の間隔を含む。予め決定された最小ピッチSは、金属ラインとビアとの間にアライメントのずれがなく提供される。これにより、例えばフォトリソグラフィの解像限界に基づくよう、設計者がピッチSを最小化することがに可能になる。こうして、信頼性不良を除去される。本方法は、330にて終了し得る。
上記方法は、高密度メモリチップ、高密度IC、および/または他の用途に適用され得、最小ピッチが、回路素子、論理回路素子、アナログ回路ブロック、デジタル回路ブロックなど間に設けられる。上記方法は、ビアを金属ラインと位置合わせすることに関連して説明されているが、当該説明された位置合わせされたは、相互接続をコンタクト(例えば、下に配置されたコンタクト)と位置合わせされた場合、および/または他の回路素子と位置合わせされた場合にも適用され得る。
上記オペレーションは、例示的な実施例を意図する。これらのオペレーションは、重複した時間帯の間に、同期的に、連続的に、同時に、継続的に実行されても、または用途に応じて異なる順序で実行されてよい。また、オペレーションのいずれかは、イベントの実装および/または順番に応じて、実行されなくても、または省かれてもよい。
上記方法は、マスクにおける重ね合わせエラーを許容し、金属ライン、ビア、相互接続、コンタクトなどの導電素子の形成を可能とする大型のビアマスクを形成する段階を含む。本方法は、異なる世代のチップ技術に拡張可能であり、より小さいチップ面積を許容し、したがって、より小さいサイズでより低コストのICを可能にする。
図16は、上記方法に従って形成された金属ライン1602と、位置合わせされたビア1604とを含むICの部分1600の一例である。示される例において、2つの金属ライン1602が、それぞれ電源および基準端子に接続される。ビア1604は、相互接続またはコンタクト1605に接続され得る。電源は、供給電圧Vddを提供する。基準端子は、電位Vssである。示されるように、ビア1604は、P型金属酸化膜半導体(PMOS)トランジスタと、N型金属酸化膜半導体(NMOS)トランジスタとを含む相補型金属酸化膜半導体(CMOS)インバータ回路のp+ドープ領域およびn+ドープ領域に接続され得る。トランジスタのpウェル領域およびnウェル領域は、基盤(または最も底部の)層1608内に配設され得る。CMOSインバータ回路は、例えば、高密度メモリに実装され得る。トランジスタは、ゲート1610を有する。CMOSインバータ回路と、対応する積層とを含み得る6トランジスタ構成のスタティックランダムアクセスメモリ(SRAM)セルの一例が、図17に示される。
図17は、SRAMメモリの6トランジスタ構成のSRAMセル1700を示す。6トランジスタ構成のSRAMメモリセル1700は、ワードラインWL、ビットラインBL、BL'、トランジスタP1、N1およびP2、N2をそれぞれ含むCMOSインバータ回路と、NMOSトランジスタN3、N4とを含む。トランジスタP1、P2は、電源に接続され、Vddのソース端子を有する。トランジスタN1、N2は、基準端子に接続され、Vssのソース端子を有する。
図18は、図3の方法が実行されるよう構成される処理システム1800を示す。処理システム1800は、図3の方法に従って基板を処理するようプログラミングされた、さまざまなチャンバ1802とクラスタツール1830とを含み得る。チャンバ1802のそれぞれは、説明されたプロセスにおいて、オペレーションの1つまたは複数を実行することに用いられ得る。チャンバの配置および組み合わせは、製造プロセスのオペレーションを実行する目的のために変更され得る。クラスタツール1830は、図3の方法を実行するようプログラミングされた制御モジュール1832を備えることが好ましい。処理を開始すべく基板が、カセットロードロック1840を通して導入される。ロボット1842、1843は、チャンバ1802間で基板を移動させるブレード(例えば、ブレード1844)を有してよい。処理システム1800は、電源1845から電力を受けてよい。
図19は、制御モジュール1832の一例を示す。制御モジュール1832は、フォトリソグラフィモジュール1900、マスクモジュール1902、除去モジュール1904、形成モジュール1906、デュアルダマシン完了モジュール1908、および/または図3の方法のオペレーションを実行するよう他のモジュールを含み得る。一例として、フォトリソグラフィモジュール1900は、オペレーション306、312を実行し得る。マスクモジュール1902は、オペレーション308、314を実行し得る。除去モジュール1904は、オペレーション310、316を実行し得る。形成モジュール1906は、オペレーション302、304、318、320、322、324、326を実行し得る。デュアルダマシン完了モジュール1907は、オペレーション328を実行し得る。制御モジュール1832は、メモリ1910に格納された1つまたは複数のアプリケーションを実行してよい。一実施形態において、モジュール1900、1902、1903、1906および1908は、制御モジュール1832によって実行されるアプリケーションとして実装される。
前述の説明は、本質的に単に例示的であり、本開示、その適用、または使用を限定することを全く意図しないものである。本開示の広範な教示は、さまざまな形態で実装され得る。したがって、本開示は具体例を含むが、添付図面、明細書および以下の特許請求の範囲を検討すると、他の修正形態が自明となるので、本開示の真の範囲はそのように限定されるべきでない。方法における1つまたは複数の段階は、本開示の原理を変更することなく、異なる順序で(または同時に)実行され得ることを理解されたい。さらに、実施形態のそれぞれは、特定機能を有するものとして上述されているが、本開示の任意の実施形態に関連して説明されているそれらの機能のうち、任意の1つまたは複数は、他の実施形態のいずれかの機能の中に実装され得るか、および/または、明示的な組み合わせの説明がない場合でも、その機能と組み合わされ得る。換言すれば、説明されている実施形態は、相互排他的なものではなく、1つまたは複数の実施形態を互いに入れ替えたものは、本開示の範囲内に留まる。
要素間(例えば、モジュール、回路素子、半導体層の間など)の空間的および機能的関係は、「接続」、「係合」、「連結」、「隣接」、「隣」、「上部」、「上」、「下」、「配設」などを含む、さまざまな用語を使用して説明されている。「直接」として明示的に説明されている場合を除き、上の開示において第1の要素と第2の要素との間の関係が説明されている場合、当該関係は、第1の要素と第2の要素との間に他の要素が介在して存在しない直接的な関係であり得るが、第1の要素と第2の要素との間に1つまたは複数の要素が(空間的、または機能的のいずれかに)介在して存在する間接的な関係でもあり得る。本明細書に用いられるように、少なくとも1つのA、B、およびCという表現は、非排他的論理ORを用いて、論理(A OR B OR C)を意味するよう解釈されるべきであり、「少なくとも1つのA、少なくとも1つのB、および少なくとも1つのC」を意味するよう解釈されるべきではない。
図面において、矢印の方向は、矢じりによって示されるように、一般的に説明の対象である情報(データまたは命令など)の流れを説明する。例えば、要素Aおよび要素Bがさまざまな情報を交換するが、要素Aから要素Bに説明に関連する情報が伝送された場合、矢印は、要素Aから要素Bに向く。この一方向の矢印は、他の情報が要素Bから要素Aに伝送されたことを意味しない。さらに、要素Aから要素Bに送信された情報に対して、要素Bは、要素Aに情報の要求、または情報の受信確認を送信し得る。
本出願において、下記の定義を含む「モジュール」という用語、または「コントローラ」という用語は、「回路」という用語と置き換えられてよい。「モジュール」という用語は、特定用途向け集積回路(ASIC)、デジタル回路、アナログ回路、もしくはアナログ/デジタル混在ディスクリート回路、もしくはアナログ/デジタル混在集積回路、組み合わせ論理回路、フィールドプログラマブルゲートアレー(FPGA)、コードを実行する(共有、専用、またはグループの)プロセッサ回路、当該プロセッサ回路によって実行されるコードを格納する(共有、専用、またはグループの)メモリ回路、説明された機能性を提供する他の適切なハードウェアコンポーネント、またはシステムオンチップなどに上記のいくつか、もしくはすべてを組み合わせたものを指す。
モジュールは、1つまたは複数のインターフェース回路を含んでよい。いくつかの実施例において、インターフェース回路は、ローカルエリアネットワーク(LAN)、インターネット、ワイドエリアネットワーク(WAN)、またはこれらの組み合わせに接続される有線インターフェースまたは無線インターフェースを含んでよい。本開示の任意の所与のモジュールの機能性は、インターフェース回路を介して接続される複数のモジュール間に分散されてよい。例えば、複数のモジュールは負荷分散を可能にしてよい。さらなる実施例において、サーバ(リモート、またはクラウドとしても知られている)モジュールは、クライアントモジュールに代わりいくつかの機能性を実現し得る。
上記のように用いられるコードという用語は、ソフトウェア、ファームウェア、および/またはマイクロコードを含んでもよく、プログラム、ルーチン、関数、クラス、データ構造、および/またはオブジェクトを指してもよい。共有プロセッサ回路という用語は、複数のモジュールからいくつかのコードまたはすべてのコードを実行するシングルプロセッサ回路を包含する。グループプロセッサ回路という用語は、複数の追加のプロセッサ回路と組み合わせて、1つまたは複数のモジュールからいくつかのコードまたはすべてのコードを実行するプロセッサ回路を包含する。マルチプロセッサ回路への言及は、ディスクリートダイ上のマルチプロセッサ回路、シングルダイ上のマルチプロセッサ回路、シングルプロセッサ回路のマルチコア、シングルプロセッサ回路のマルチスレッド、または上記の組み合わせを包含する。共有メモリ回路という用語は、複数のモジュールからいくつかのコードまたはすべてのコードを格納する単一のメモリ回路を包含する。グループメモリ回路という用語は、追加のメモリと組み合わせて、1つまたは複数のモジュールからいくつかのコードまたはすべてのコードを格納するメモリ回路を包含する。
メモリ回路という用語は、コンピュータ可読媒体という用語のサブセットである。本明細書で用いられるように、コンピュータ可読媒体という用語は、媒体(搬送波上など)を通して伝播される一時的な電気信号または電磁信号を包含しない。コンピュータ可読媒体という用語はしたがって、有形および非一時的なものとみなされてよい。非一時的な有形のコンピュータ可読媒体の非限定的な例は、不揮発性メモリ回路(フラッシュメモリ回路、消去可能プログラマブルリードオンリメモリ回路、またはマスクリードオンリメモリ回路など)、揮発性メモリ回路(スタティックランダムアクセスメモリ回路、またはダイナミックランダムアクセスメモリ回路など)、磁気記憶媒体(アナログもしくはデジタル磁気テープ、またはハードディスクドライブなど)、および光学記憶媒体(CD、DVD、またはブルーレイ(登録商標)ディスクなど)である。
本出願において、特定の属性を有するとして、または特定のオペレーションを実行するとして説明される装置の要素は、それらの特定の属性を有し、それらの特定のオペレーションを実行するよう具体的に構成される。具体的には、要素が動作を実行する説明は、当該要素が当該動作を実行するように構成されていることを意味する。要素の構成は、要素に関連する非一時的な有形のコンピュータ可読媒体の命令を符号化するなどによって、要素をプログラミングすることを含み得る。
本出願に記載の装置および方法は、複数のコンピュータプログラム中に具現化される1つまたは複数の特定の機能を実行するよう汎用コンピュータを構成することによって作成される特定用途向けコンピュータによって部分的または完全に実装され得る。ソフトウェア仕様書としての役目を果たす上述の機能ブロック、フローチャートコンポーネント、および他の要素は、熟練した技術者、またはプログラマの日常業務によってコンピュータプログラムに翻訳され得る。
コンピュータプログラムは、少なくとも1つの非一時的な有形のコンピュータ可読媒体上に格納されるプロセッサ実行可能命令を含む。コンピュータプログラムはまた、格納されたデータを含んでよく、または格納されたデータに依存してもよい。コンピュータプログラムは、特定用途向けコンピュータのハードウェアとインタラクトする基本入出力システム(BIOS)、特定用途向けコンピュータの特定のデバイスとインタラクトするデバイスドライバ、1つまたは複数のオペレーティングシステム、ユーザアプリケーション、バックグラウンドサービス、バックグラウンドアプリケーションなどを包含し得る。
コンピュータプログラムは、(i)HTML(ハイパーテキストマークアップ言語)、XML(拡張マークアップ言語)、またはJSON(JavaScript(登録商標)オブジェクト表記法)など解析される説明文(ii)アセンブリコード、(iii)コンパイラによってソースコードから生成されるオブジェクトコード、(iv)インタープリタが実行するためのソースコード、(v)ジャストインタイムコンパイラがコンパイルおよび実行に対するソースコードなどを含み得る。単なる例であるが、ソースコードは、C、C++、C#、Objective-C、Swift、Haskell、Go、SQL、R、Lisp、Java(登録商標)、FORTRAN、Perl、Pascal、Curl、OCaml、JavaScript(登録商標)、HTML5(ハイパーテキストマークアップ言語 改訂第5版)、Ada、ASP(アクティブサーバーページ)、PHP(PHP:ハイパーテキストプリプロセッサ)、Scala、Eiffel、Smalltalk、Erlang、Ruby、Flash(登録商標)、Visual Basic(登録商標)、Lua、MATLAB、SIMULINK、およびPython(登録商標)を含む言語からシンタックスを用いて書かれてよい。

Claims (20)

  1. 集積回路内の金属ラインと位置合わせされたビアを形成する方法であって、
    前記方法は、
    誘電体層と、キャップ層と、ハードマスク層と、第1のフィルム層と、第1のフォトレジスト層とを含む第1の複数の層を含む積層体を形成する段階と、
    金属ラインマスクを提供するよう前記第1のフォトレジスト層をパターニングする段階と、
    前記ハードマスク層内に金属ラインマスクを形成するよう前記パターニングされた第1のフォトレジスト層に基づいて前記ハードマスク層をエッチングする段階と、
    前記第1のフォトレジスト層および前記第1のフィルム層をアッシングする段階と、
    第2のフィルム層と、第2のフォトレジスト層とを含む第2の複数の層を前記ハードマスク層上に形成する段階と、
    前記金属ラインマスクの対向する両面を横切って延伸するビアマスクを形成するために前記第2のフォトレジスト層をパターニングする段階と、
    前記パターニングされた第2のフォトレジスト層に基づいて前記第2のフィルム層および前記キャップ層をエッチングする段階と、
    前記第2のフォトレジスト層および前記第2のフィルム層をアッシングする段階と、
    複数のビア領域および複数の金属ライン領域を提供するよう前記ハードマスク層のパターンに基づいて前記誘電体層および前記キャップ層をエッチングする段階と、
    前記ハードマスク層および前記キャップ層をエッチングする段階と、
    前記複数のビア領域内および前記複数の金属ライン領域内に前記ビアおよび前記金属ラインを形成するよう、複数のデュアルダマシンプロセスのオペレーションを実行する段階とを備える方法。
  2. 前記第1の複数の層を形成する前記段階が、
    前記誘電体層を形成する段階と、
    前記誘電体層上に前記キャップ層を形成する段階と、
    前記キャップ層上に前記ハードマスク層を形成する段階と、
    前記ハードマスク層上に前記第1のフィルム層を形成する段階と、
    前記第1のフィルム層上に前記第1のフォトレジスト層を形成する段階とを含む、請求項1に記載の方法。
  3. 前記第1のフィルム層がアモルファスシリコンまたは反射防止塗膜のうち少なくとも一方を含む、請求項1または2に記載の方法。
  4. 前記ハードマスク層が窒化チタニウムで形成される、請求項1から3のいずれか一項に記載の方法。
  5. 前記ハードマスク層が前記キャップ層をマスクする第1のマスク層として用いられ、前記キャップ層が前記誘電体層をマスクする第2のマスク層として用いられる、請求項1から4のいずれか一項に記載の方法。
  6. 前記第2の複数の層を形成する前記段階が、
    前記ハードマスク層上に前記第2のフィルム層を形成する段階と、
    前記第2のフィルム層上に前記第2のフォトレジスト層を形成する段階とを含む、請求項1から5のいずれか一項に記載の方法。
  7. 前記第2のフィルム層が、アモルファスシリコンまたは反射防止塗膜のうち少なくとも一方を含む請求項1から6のいずれか一項に記載の方法。
  8. 前記第2のフィルム層および前記キャップ層が、前記パターニングされた第2のフォトレジスト層に基づいて異方的にエッチングされる、請求項1から7のいずれか一項に記載の方法。
  9. 前記誘電体層および前記キャップ層のエッチングする前記段階が、前記ハードマスク層のパターンおよび前記キャップ層のパターンに基づいて前記誘電体層をエッチングする段階を含む、請求項1から8のいずれか一項に記載の方法。
  10. 前記誘電体層および前記キャップ層をエッチングする前記段階が、
    前記誘電体層をエッチングする段階の後に、前記ハードマスク層のパターンに基づいて前記キャップ層をエッチングする段階と、
    前記キャップ層をエッチングする段階の後に、前記ハードマスク層のパターンおよび前記キャップ層のパターンに基づいて前記誘電体層をエッチングする段階とを含む、請求項9に記載の方法。
  11. 前記誘電体層および前記キャップ層が前記ハードマスク層の前記パターンに基づいて異方的にエッチングされる、請求項1から10のいずれか一項に記載の方法。
  12. 前記誘電体層を形成する段階の前に、相互接続層を形成する段階と、前記相互接続層上にエッチングストップ層を形成する段階とをさらに備え、
    前記誘電体層をエッチングする段階の後で、且つ前記複数のデュアルダマシンプロセスのオペレーションを実行する前に、前記相互接続層の最上面に到達するまで前記エッチングストップ層をエッチングする段階である、
    請求項1から11のいずれか一項に記載の方法。
  13. 前記複数のデュアルダマシンプロセスのオペレーションが、
    前記複数のビア領域および前記複数の金属ライン領域のそれぞれにバリア層を形成する段階と、
    前記バリア層のそれぞれにシード層を形成する段階と、
    前記シード層を電気めっきする段階とを含む、請求項1から12のいずれか一項に記載の方法。
  14. 基板を処理して、集積回路内に金属ラインと位置合わせされたビアを形成するための処理システムであって、前記処理システムが、
    プロセッサと、
    メモリと、
    前記メモリに格納され、且つ複数の命令を含む1つまたは複数のアプリケーションとを備え、
    前記複数の命令は、前記プロセッサによって、
    誘電体層と、キャップ層と、ハードマスク層と、第1のフィルム層と、第1のフォトレジスト層とを含む、第1の複数の層を含む積層体を形成することと、
    金属ラインマスクを提供するよう前記第1のフォトレジスト層をパターニングすることと、
    前記ハードマスク層内に金属ラインマスクを形成するよう前記パターニングされた第1のフォトレジスト層に基づいて前記ハードマスク層をエッチングすることと、
    前記第1のフォトレジスト層および前記第1のフィルム層をアッシングすることと、
    第2のフィルム層と、第2のフォトレジスト層とを含む第2の複数の層を前記ハードマスク層上に形成することと、
    前記金属ラインマスクの対向する両面を横切って延伸するビアマスクを形成するよう前記第2のフォトレジスト層をパターニングすることと、
    前記パターニングされた第2のフォトレジスト層に基づいて前記第2のフィルム層および前記キャップ層をエッチングすることと、
    前記第2のフォトレジスト層および前記第2のフィルム層をアッシングすることと、
    複数のビア領域および複数の金属ライン領域を提供するよう前記ハードマスク層のパターンに基づいて、前記誘電体層および前記キャップ層をエッチングすることと、
    前記ハードマスク層および前記キャップ層をエッチングすることと、
    前記複数のビア領域内および前記複数の金属ライン領域内に前記ビアおよび前記金属ラインを形成するよう、複数のデュアルダマシンプロセスのオペレーションを実行することを行うように実行可能である、処理システム。
  15. 前記第1の複数の層を前記形成することが、
    前記誘電体層を形成することと、
    前記誘電体層上に前記キャップ層を形成することと、
    前記キャップ層上に前記ハードマスク層を形成することと、
    前記ハードマスク層上に前記第1のフィルム層を形成することと、
    前記第1のフィルム層上に前記第1のフォトレジスト層を形成することとを含む、請求項14に記載の処理システム。
  16. 前記ハードマスク層が前記キャップ層をマスクする第1のマスク層として用いられ、前記キャップ層が前記誘電体層をマスクする第2のマスク層として用いられる、請求項14または15に記載の処理システム。
  17. 前記第2の複数の層を前記形成することが、
    前記ハードマスク層上に前記第2のフィルム層を形成することと、
    前記第2のフィルム層上に前記第2のフォトレジスト層を形成することとを含む、請求項14から16のいずれか一項に記載の処理システム。
  18. 前記第2のフィルム層および前記キャップ層が、前記パターニングされた第2のフォトレジスト層に基づいて異方的にエッチングされ、
    前記誘電体層および前記キャップ層が前記ハードマスク層の前記パターンに基づいて異方的にエッチングされる、請求項14から17のいずれか一項に記載の処理システム。
  19. 前記誘電体層および前記キャップ層を前記エッチングすることが、
    前記ハードマスク層のパターンおよび前記キャップ層のパターンに基づいて前記誘電体層をエッチングすることと、
    前記誘電体層のエッチングの後に、前記ハードマスク層のパターンに基づいて前記キャップ層をエッチングすることと、
    前記キャップ層のエッチングの後に、前記ハードマスク層のパターンおよび前記キャップ層のパターンに基づいて前記誘電体層をエッチングすることとを含む、請求項14から18のいずれか一項に記載の処理システム。
  20. 前記複数のデュアルダマシンプロセスのオペレーションが、
    前記複数のビア領域および前記複数の金属ライン領域のそれぞれにバリア層を形成することと、
    前記バリア層のそれぞれにシード層を形成することと、
    前記シード層を電気めっきすることとを含む、請求項14から19のいずれか一項に記載の処理システム。
JP2018160009A 2017-09-25 2018-08-29 超高密度集積回路における位置合わせされたビアを作成する方法 Active JP7168281B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762562846P 2017-09-25 2017-09-25
US62/562,846 2017-09-25

Publications (2)

Publication Number Publication Date
JP2019062192A JP2019062192A (ja) 2019-04-18
JP7168281B2 true JP7168281B2 (ja) 2022-11-09

Family

ID=65807999

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018160009A Active JP7168281B2 (ja) 2017-09-25 2018-08-29 超高密度集積回路における位置合わせされたビアを作成する方法

Country Status (5)

Country Link
US (2) US10522394B2 (ja)
JP (1) JP7168281B2 (ja)
KR (1) KR102619000B1 (ja)
CN (1) CN109560042B (ja)
TW (1) TWI806904B (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069610B2 (en) 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
EP3836198B1 (en) 2019-12-12 2022-08-24 Imec VZW A method for forming a via hole self-aligned with a metal block on a substrate
US11495743B2 (en) * 2020-05-05 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memory device and manufacturing technology
TWI835706B (zh) * 2022-01-19 2024-03-11 華邦電子股份有限公司 半導體記憶體結構的形成方法
TWI824387B (zh) * 2022-01-19 2023-12-01 華邦電子股份有限公司 半導體記憶體結構的形成方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003303824A (ja) 2002-04-12 2003-10-24 Sony Corp 半導体装置の製造方法
WO2004097923A1 (ja) 2003-04-30 2004-11-11 Fujitsu Limited 半導体装置の製造方法
JP2006156474A (ja) 2004-11-25 2006-06-15 Renesas Technology Corp 半導体装置およびその製造方法
JP2008218959A (ja) 2007-02-09 2008-09-18 Tokyo Electron Ltd エッチング方法および記憶媒体
WO2011018857A1 (ja) 2009-08-14 2011-02-17 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2012504325A (ja) 2008-09-30 2012-02-16 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド ハードマスク及び二重露光により形成される半導体デバイスのコンタクト及びビア

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127263A (en) * 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
TW411579B (en) * 1998-07-21 2000-11-11 United Microelectronics Corp Structure of dual damascene and production method thereof
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6815331B2 (en) * 2001-05-17 2004-11-09 Samsung Electronics Co., Ltd. Method for forming metal wiring layer of semiconductor device
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW546771B (en) * 2002-05-13 2003-08-11 Nanya Technology Corp Manufacturing method of dual damascene structure
JP4243099B2 (ja) * 2002-05-17 2009-03-25 三星電子株式会社 半導体素子の金属配線形成方法
US6787875B2 (en) * 2002-08-05 2004-09-07 Texas Instruments Incorporated Self-aligned vias in an integrated circuit structure
US6911389B2 (en) * 2002-09-18 2005-06-28 Texas Instruments Incorporated Self aligned vias in dual damascene interconnect, buried mask approach
US7247555B2 (en) * 2004-01-29 2007-07-24 Chartered Semiconductor Manufacturing Ltd. Method to control dual damascene trench etch profile and trench depth uniformity
KR100568257B1 (ko) * 2004-07-29 2006-04-07 삼성전자주식회사 듀얼 다마신 배선의 제조방법
US9318378B2 (en) * 2004-08-21 2016-04-19 Globalfoundries Singapore Pte. Ltd. Slot designs in wide metal lines
JP2006179515A (ja) * 2004-12-20 2006-07-06 Oki Electric Ind Co Ltd 半導体素子の製造方法、及びエッチング方法
US8062971B2 (en) * 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
JP5396065B2 (ja) * 2008-10-28 2014-01-22 株式会社日立製作所 半導体装置の製造方法
US8614143B2 (en) * 2008-12-03 2013-12-24 Texas Instruments Incorporated Simultaneous via and trench patterning using different etch rates
DE102008063430B4 (de) * 2008-12-31 2016-11-24 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
US8404581B2 (en) * 2009-09-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect of a semiconductor device
DE102010002454A1 (de) * 2010-02-26 2011-09-01 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
KR101774298B1 (ko) * 2011-05-30 2017-09-20 삼성전자 주식회사 반도체 장치의 제조방법
US8906810B2 (en) * 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US9535316B2 (en) * 2013-05-14 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask with three states for forming multiple layer patterns with a single exposure
US9040417B2 (en) * 2013-10-04 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9305832B2 (en) * 2014-06-26 2016-04-05 Globalfoundries Inc. Dimension-controlled via formation processing
US9887126B2 (en) * 2014-08-26 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of dual damascene structures having via hole and trench
US9589890B2 (en) * 2015-07-20 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for interconnect scheme
US9793164B2 (en) * 2015-11-12 2017-10-17 Qualcomm Incorporated Self-aligned metal cut and via for back-end-of-line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices
US9842804B2 (en) * 2016-01-04 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reducing dual damascene distortion
US9412648B1 (en) * 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US9748139B1 (en) * 2016-02-04 2017-08-29 United Microelectronics Corp. Method of fabricating dual damascene structure
US9911652B1 (en) * 2017-03-29 2018-03-06 International Business Machines Corporation Forming self-aligned vias and air-gaps in semiconductor fabrication
KR102460076B1 (ko) * 2017-08-01 2022-10-28 삼성전자주식회사 반도체 장치
US10861705B2 (en) * 2017-08-31 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of line wiggling

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003303824A (ja) 2002-04-12 2003-10-24 Sony Corp 半導体装置の製造方法
WO2004097923A1 (ja) 2003-04-30 2004-11-11 Fujitsu Limited 半導体装置の製造方法
JP2006156474A (ja) 2004-11-25 2006-06-15 Renesas Technology Corp 半導体装置およびその製造方法
JP2008218959A (ja) 2007-02-09 2008-09-18 Tokyo Electron Ltd エッチング方法および記憶媒体
JP2012504325A (ja) 2008-09-30 2012-02-16 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド ハードマスク及び二重露光により形成される半導体デバイスのコンタクト及びビア
WO2011018857A1 (ja) 2009-08-14 2011-02-17 富士通セミコンダクター株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US20190096756A1 (en) 2019-03-28
US11081387B2 (en) 2021-08-03
TW201923825A (zh) 2019-06-16
CN109560042A (zh) 2019-04-02
CN109560042B (zh) 2024-04-12
KR20190035595A (ko) 2019-04-03
US10522394B2 (en) 2019-12-31
KR102619000B1 (ko) 2023-12-28
US20200118868A1 (en) 2020-04-16
JP2019062192A (ja) 2019-04-18
TWI806904B (zh) 2023-07-01

Similar Documents

Publication Publication Date Title
JP7168281B2 (ja) 超高密度集積回路における位置合わせされたビアを作成する方法
KR101775211B1 (ko) 집적 회로용 레이아웃 설계 시스템 및 방법
US11276581B2 (en) Textile patterning for subtractively-patterned self-aligned interconnects, plugs, and vias
US10290582B2 (en) Method, apparatus, and system for offset metal power rail for cell design
US9502405B1 (en) Semiconductor device with authentication code
US20170323902A1 (en) Method, apparatus, and system for improved cell design having unidirectional metal layout architecture
US9437481B2 (en) Self-aligned double patterning process for two dimensional patterns
US10535669B2 (en) Three-dimensional memory devices and fabricating methods thereof
TW201806107A (zh) 利用光刻桶的嵌刻栓塞及突片圖案化以用於後段製程(beol)基於隔層的互連
TW202029339A (zh) 積體電路結構及其形成方法
TW201843770A (zh) 半導體裝置的製造方法
US9293343B2 (en) Method of forming patterns of semiconductor device
US8841774B2 (en) Semiconductor device including a first wiring having a bending portion a via
US10068806B2 (en) Method, apparatus, and system for using a cover mask for enabling metal line jumping over MOL features in a standard cell
US20180247864A1 (en) Self-aligned pattern formation for a semiconductor device
WO2014156923A1 (ja) 半導体装置の製造方法
US20230215767A1 (en) Two-dimensional self-aligned backside via-to-backside power rail (vbpr)
US10283495B2 (en) Mask optimization for multi-layer contacts
WO2024003212A1 (en) Self-aligned backside gate contact for backside signal line integration

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20200407

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210521

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210804

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20211105

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220915

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220927

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221021

R150 Certificate of patent or registration of utility model

Ref document number: 7168281

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150