JP7121237B2 - 閾値シフトの低減のためのシリコン窒化プロセス - Google Patents

閾値シフトの低減のためのシリコン窒化プロセス Download PDF

Info

Publication number
JP7121237B2
JP7121237B2 JP2018567698A JP2018567698A JP7121237B2 JP 7121237 B2 JP7121237 B2 JP 7121237B2 JP 2018567698 A JP2018567698 A JP 2018567698A JP 2018567698 A JP2018567698 A JP 2018567698A JP 7121237 B2 JP7121237 B2 JP 7121237B2
Authority
JP
Japan
Prior art keywords
silicon nitride
nitride layer
nitrogen
substrate
rich
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018567698A
Other languages
English (en)
Other versions
JP2019519937A5 (ja
JP2019519937A (ja
Inventor
ステファン デラス ニコラス
ティピルネニ ナヴィーン
セアップ リー ドン
Original Assignee
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テキサス インスツルメンツ インコーポレイテッド filed Critical テキサス インスツルメンツ インコーポレイテッド
Publication of JP2019519937A publication Critical patent/JP2019519937A/ja
Publication of JP2019519937A5 publication Critical patent/JP2019519937A5/ja
Application granted granted Critical
Publication of JP7121237B2 publication Critical patent/JP7121237B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本願は、概して半導体デバイスに関し、更に特定して言えば、半導体デバイスにおける電界効果トランジスタに関連する。
電界効果トランジスタ(FET)は、トランジスタのゲートに電位を印加することにより動作し、これにより、トランジスタのチャネルにおける充電キャリアの密度が変わる。トランジスタの閾値電位は、トランジスタが、最低限の電流がチャネルを介して流れるオフ状態から、事前定義された電流がチャネルを介して流れるオン状態に変化する、ゲート電位と解釈され得る。トランジスタの信頼性の高いオペレーションは、トランジスタの動作寿命の間、閾値電位が一定のままであることに依存する。電荷は、ゲート誘電体層において、ゲート及びチャネル間で蓄積しがちであり、そのため、閾値電位をシフトすることにより信頼性に悪影響を与える。電荷蓄積は、シリコン窒化物を含むゲート誘電体層において特に問題となる。
半導体デバイスが、その半導体デバイスの半導体領域の上のゲート誘電体層、及びゲート誘電体層の上のゲートを備えるFETを含む。ゲート誘電体層は、半導体領域の直接の上でありゲートの下に、窒素リッチシリコン窒化物の層を含む。
例示の半導体デバイスの断面である。
形成の例示の方法の段階を示す、図1の半導体デバイスの断面図である。 形成の例示の方法の段階を示す、図1の半導体デバイスの断面図である。 形成の例示の方法の段階を示す、図1の半導体デバイスの断面図である。 形成の例示の方法の段階を示す、図1の半導体デバイスの断面図である。 形成の例示の方法の段階を示す、図1の半導体デバイスの断面図である。
FETを含む半導体デバイスを形成するための例示の方法のフローチャートである。
Nリッチ層のための形成の別の例示の方法の段階を示す、図1の半導体デバイスの断面図である。 Nリッチ層のための形成の別の例示の方法の段階を示す、図1の半導体デバイスの断面図である。
図面は一定の縮尺で描いてはいない。例示の実施例は、一つ又は複数の特定の細部なしに又は他の方法を用いて実施され得る。本記載において、幾つかの行為は、異なる順序で、及び/又は他の行為又は事象と同時に成されてもよい。また、本記載に従った手法を実装するために全ての図示した行為又は事象が必要とされるわけではない。
半導体デバイスは、半導体デバイスの半導体領域におけるチャネルのための領域の上のゲート誘電体層、及びゲート誘電体層の上のゲートを備えるFETを含む。チャネルは、ゲートの下の半導体領域における反転層にある。FETがエンハンスメントモードデバイスである場合、チャネルは、概して半導体デバイスが電力供給されておらず動作されていないとき存在しない。FETが、デプリーションモードデバイスである場合、チャネルは、概して、半導体デバイスが電力供給されておらず動作されていないとき存在する。本記載の信頼性を向上させる目的で、チャネルのための領域は、後述では、半導体デバイスが電力供給されておらず動作されていない場合でも、チャネルと称され得る。チャネルは、半導体デバイスが動作されているときのみ説明される、特定の半導体デバイスに対して存在し得る。
チャネルは、ガリウム窒化物、又はガリウム窒化物及びアルミニウム窒化物の合金半導体材料など、III-V族半導体材料において成長され得る。ゲート誘電体層は、チャネルの直接の上であり、ゲートの下に置かれる、窒素リッチシリコン窒化物の層を含む。また、ゲート誘電体層は、窒素リッチシリコン窒化物の層の上のシリコンリッチシリコン窒化物の層、及びゲートの下に置かれるゲート誘電体層を含み得る。
図1は、例示の半導体デバイス100の断面である。半導体デバイス100は、半導体領域104を有する基板102に依存する。半導体デバイス100はFET106を含む。半導体領域104は、ガリウム窒化物、又はガリウム窒化物及びアルミニウム窒化物の合金半導体材料など、III-V族半導体材料を含み得る。他のIII-V族半導体、II-VI族半導体、又は場合によってはIV族半導体など、その他の半導体材料もこの例の範囲内にある。半導体領域104がIII-V族半導体材料を含むこの例のバージョンにおいて、III-V族材料の一つ又は複数のサブ層を含む任意選択のストレッサー層108が半導体領域104の上に形成され得る。ストレッサー層108は、半導体領域104において圧電性応力を誘導するため、及び場合によっては、半導体領域104における二次元電子ガスとゲート112との間の隔離を提供するためなど、他の目的のためにするために用いられ得る。存在する場合、任意選択のストレッサー層108は基板102の一部であり得る。同様に、存在する場合、基板102上の任意の自然酸化物層が、基板102上に成長され得る。
FET106は、デプリーションモードデバイス又はエンハンスメントモードデバイスであり得る。FET106は、基板102の上に配置されるゲート誘電体層110を含む。ゲート112は、ゲート誘電体層110の上に配置される。チャネル114が、ゲート誘電体層110の下の半導体領域104に位置する。隔離誘電体層116は、チャネル114の外の基板102の上に配置され得、隔離誘電体層116の横方向境界が、チャネル114のための横方向エリアを画定し得る。ゲート誘電体層110及びゲート112は、隔離誘電体層116の直接の上に中途まで、図1に示されるように、チャネル114に近接するフィールドプレートとして機能するように、更にソース側のよりドレイン側の上に、延在し得る。相互接続誘電体層118が、ソース及びドレインコンタクトなど、半導体デバイス100のゲート112を他の相互接続から隔離するため、ゲート112の上に配置され得る。
この例では、ゲート誘電体層110は、本願においてこれ以降で、チャネル114の上のエリアにおける基板102の直接の上に配置されるNリッチ層120と称される、シリコン窒化物120の窒素リッチ層を含む。シリコン窒化物層のシリコン対窒素原子の比は、屈折率により特徴付けられ得る。屈折率は、630ナノメートル~635ナノメートルの波長で測定され得る。化学量論のシリコン窒化物は、1パーセント未満のマージン内で、約0.75の例示のシリコン対窒素原子比を有し得る。Nリッチ層120は、化学量論のシリコン窒化物材料の屈折率より0.015~0.030小さい屈折率を有し得る。このようなNリッチ層120は電荷蓄積を低減するために有効であるように示されている。Nリッチ層120は、5ナノメートル~20ナノメートルの厚みであり得、これが電荷蓄積を低減するために有効な厚みであるように示されている。原子分率で表されるNリッチ層120の水素含有量は10パーセント未満であり得、これは有利なことに電荷蓄積を更に低減し得る。
ゲート誘電体層110は更に、Nリッチ層120の上に配置され、これ以降ではSiリッチ層122と称する、シリコン窒化物122の任意選択のシリコンリッチ層を含み得る。Siリッチ層122は、化学量論のシリコン窒化物材料の屈折率より0.025~0.040大きい屈折率を有し得る。Siリッチ層122は、5ナノメートル~20ナノメートルの厚みであり得、これが、Nリッチ層120の上に配置されるとき電荷蓄積を更に低減するために有効な厚みであるように示されている。また、Siリッチ層122の水素含有量は、10パーセント未満であり得る。
ゲート誘電体層110は更に、FET106のための所望の閾値電位を提供するため、Nリッチ層120の上、及び存在する場合はSiリッチ層122の上に配置される、任意選択の閾値調節誘電体層124を含み得る。閾値調節誘電体層124は、化学量論のシリコン窒化物、又は二酸化シリコン、又はその他の誘電性材料を含み得る。
FET106は、チャネル114の相対する端部に配置される、ソース及びドレイン領域(図1には図示せず)を含む。半導体デバイス100は、ゲート112とソース及びドレイン領域への電気的接続を提供するため、相互接続誘電体層118を介する金属コンタクト及び金属ラインなどの相互接続を含む。
図2A~図2Eは、形成の例示の方法の段階を示す、図1の半導体デバイスの断面図である。図2Aを参照すると、隔離誘電体層116は、ゲート誘電体層110を形成する前に基板102の上に形成される。例えば、隔離誘電体層116は、基板102の上に二酸化シリコンの層を形成し、その後、図1のチャネル114のためのエリアにおける二酸化シリコンの層を露出させるために二酸化シリコンの層の上に隔離マスクを形成することにより形成され得る。二酸化シリコンの層は、隔離マスクにより露出されたエリアにおいてエッチングされ、隔離マスクは、その後取り除かれる。
半導体デバイス100は、場合によっては複数の同様の基板を用いて、第1の低圧化学気相成長(LPCVD)チャンバ126に置かれる。半導体デバイス100は、600℃~740℃の温度まで加熱される。ジクロロシランが、第1のジクロロシラン(DCS)フローコントローラ128を用いて、10毎分標準立方センチメートル(sccm)~80seemの流量で第1のLPCVDチャンバ126内に流される。アンモニアが、第1のアンモニア(NH)フローコントローラ130を用いて、ジクロロシランの流量の6~12倍の流量で第1のLPCVDチャンバ126内に流される。第1のLPCVDチャンバ126における圧力は、100ミリトール~500ミリトールで維持される。200ミリメートルの基板に適用されるこの例で説明されるジクロロシラン及びアンモニアの流量は、60ウェハ~150ウェハのバッチにおいて流される。流量は、他のサイズの基板及びバッチ負荷に対して変えられ得るが、ジクロロシラン及びアンモニア流量の比は維持される。ジクロロシラン及びアンモニアは、Nリッチ層120を形成するため半導体デバイス100に対して反応する。ジクロロシラン及びアンモニアのフローは、Nリッチ層120の所望の厚みを得るために所定の時間の間継続し得、その後、このフローは中断される。代替として、Nリッチ層120の厚みが、フローを中断する適切な時間を判定するために監視され得る。Nリッチ層120の形成のためのプロセス制御の他の方法も、この例の範囲内にある。この例の一つのバージョンにおいて、Nリッチ層120は、Nリッチ層120の頂部表面の酸化を防止するために、実質的に、酸素又は亜酸化窒素などの酸化反応物がない低圧雰囲気に維持され得る。
図2Bを参照すると、半導体デバイス100は、図2Aの第1のLPCVDチャンバ126であり得る第2のLPCVDチャンバ132に置かれる。半導体デバイス100は、780℃~900℃の温度まで加熱される。ジクロロシランが、図2Aの第1のDCSフローコントローラ128であり得る第2のDCSフローコントローラ134を用いて、40seem~100seemの流量で第2のLPCVDチャンバ132内に流される。アンモニアが、図2Aの第1のNHコントローラ130であり得る第2のNHフローコントローラ136を用いて、ジクロロシランの流量の3~6倍の流量で第2のLPCVDチャンバ132内に流される。第2のLPCVDチャンバ132の圧力が、100ミリトール~500ミリトールで維持される。ジクロロシラン及びアンモニアは、Nリッチ層120を形成するためSiリッチ層122に対して反応する。ジクロロシラン及びアンモニアのフローが、図2Aを参照して説明されるように、所定の時間の間継続され得、又は終了され(endpointed)得る。この例の一つのバージョンにおいて、Siリッチ層122は、実質的にいかなる酸化反応物もない低圧雰囲気に維持され得る。Nリッチ層120及びSiリッチ層122を形成するために同じLPCVDチャンバ132を用いることは、有利なことに、半導体デバイス100を形成のためのプロセス複雑度を低減し得る。
図2Cを参照すると、半導体デバイス100は、図2Aの第1のLPCVDチャンバ126及び/又は図2Bの第2のLPCVDチャンバ132であり得る第3のLPCVDチャンバ138に置かれる。半導体デバイス100は、740℃~780℃の温度まで加熱される。ジクロロシランが、第3のDCSフローコントローラ140を用いて、30seem~120seemの流量で第3のLPCVDチャンバ138内に流され、第3のDCSフローコントローラ140は、図2Aの第1のDCSフローコントローラ128及び/又は図2Bの第2のDCSフローコントローラ134であり得る。アンモニアが、ジクロロシランの流量の8~12倍の流量で、図2Aの第1のNHコントローラ130及び/又は図2Bの第2のH3フローコントローラ136であり得る第3のNHフローコントローラ142を用いて、第3のLPCVDチャンバ138内に流される。第3のLPCVDチャンバ138の圧力が、100ミリトール~500ミリトールで維持される。ジクロロシラン及びアンモニアは、化学量論のシリコン窒化物層124を形成するためSiリッチ層122に対して反応する。ジクロロシラン及びアンモニアのフローが、図2Aを参照して説明されるように、所定の時間の間継続され得、又は終了され(endpointed)得る。化学量論のシリコン窒化物層124及びNリッチ層120及びSiリッチ層122を形成するために同じLPCVDチャンバ138を用いることは、有利なことに、半導体デバイス100を形成するためのプロセス複雑度を更に低減し得る。
図2Dを参照すると、ゲート材料144の層が、ゲート誘電体層110の上に形成される。ゲート材料144の層は、接着、所望の仕事関数、及び所望のシート抵抗を提供するためなど、異なる金属のサブ層を含み得る。例えば、ゲート材料144の層は、チタン、チタン窒化物、及びアルミニウムを含み得る。また、例えば、ゲート材料144の層は、スパッタプロセス、蒸着プロセス、及び/又は原子層堆積(ALD)プロセスにより形成され得る。
ゲートマスク146が、図1のゲート112のためのエリアを覆うゲート材料144の層の上に形成される。ゲートマスク146は、図1を参照して説明するフィールドプレート機能性を提供するために、図2Dに示されるように、隔離誘電体層116の上に中途まで延在し得る。ゲートマスク146は、フォトリソグラフィプロセスによって形成されるフォトレジストを含み得、有機底部反射防止コーティング(BARC)などの反反射材料を含み得る。
図2Eを参照すると、ゲート112を形成するためゲートマスク146の下のゲート材料を残して、ゲートマスク146により露出された、図2Dのゲート材料144のゲート材料の層が取り除かれる。ゲート材料は、ウェットエッチングプロセスによりゲート材料144の層から取り除かれ得、図2Eに示されるようなゲート112上の傾斜した側部を生成する。ウェットエッチングプロセスが、ゲート誘電体層110に対する所望のエッチング選択性を有し得る。代替として、ゲート材料は、反応性イオンエッチ(RIE)プロセスなどのプラズマエッチングプロセスにより取り除かれ得る。その後、ゲートマスク146が、アッシャープロセスなどにより取り除かれ、その後ウェット洗浄プロセスが続く。
図3は、FETを含む半導体デバイスを形成するための例示の方法のフローチャートである。オペレーション300が半導体基板を提供する。半導体基板は、同様の半導体デバイスのための複数のエリアを備えるウェハであり得る。半導体基板は、FETのための所望のチャネル領域を提供するため幾つかのエピタキシャル層を含み得る。
オペレーション302が、後述では、半導体基板上のゲート誘電体層のNリッチ層である、窒素リッチシリコン窒化物層を形成する。Nリッチ層は、化学量論のシリコン窒化物層より低い温度で形成され得る。窒素含有反応物の流量対シリコン含有反応物の流量の比は、化学量論のシリコン窒化物層の場合より高くし得る。
任意選択のオペレーション304が、後述では、Nリッチ層上のゲート誘電体層のSiリッチ層である、シリコンリッチシリコン窒化物層を形成する。Siリッチ層は、化学量論のシリコン窒化物層を形成するための温度に類似する温度で形成され得る。窒素含有反応物の流量のシリコン含有反応物の流量に対する比は、化学量論のシリコン窒化物層の場合より低くし得る。
任意選択のオペレーション306は、存在する場合はSiリッチ層上に、Nリッチ層の上のゲート誘電体層の化学量論のシリコン窒化物の層を形成するためである。化学量論のシリコン窒化物層は、Nリッチ層を形成するために用いられるものと同じ窒素含有反応物及びシリコン含有反応物を用いて形成され得る。
オペレーション308は、ゲート誘電体層の上にゲートを形成するためである。ゲートは、フィールドプレート機能性を提供するためチャネル領域を超えて延在し得る。
図4A及び図4Bは、Nリッチ層の形成のための別の例示の方法の段階を示す、図1の半導体デバイスの断面図である。図4Aを参照すると、半導体デバイス100は、場合によって複数の同様の基板を用いて、ALDチャンバ148に置かれる。半導体デバイス100は、約375℃の温度まで加熱される。テトラクロロシランが、約170ミリトールの圧力を提供するため、ALDテトラクロロシランフローコントローラ150を用いて、第1のLPCVDチャンバ内に流される。テトラクロロシラン分子は、シリコン含有反応物の吸収された層を形成するため、半導体デバイス100上で吸収される。テトラクロロシランのフローは、シリコン含有反応物の吸収された層が形成された後、中断される。
図4Bを参照すると、半導体デバイス100は、ALDチャンバ148において約550℃の温度まで加熱される。ALDチャンバ148は、異なる温度に保たれる2つの個別の堆積領域を包含し得る。アンモニアが、約300ミリトールのALDチャンバ148の圧力を提供するため、ALDアンモニアフローコントローラ152を用いて、ALDチャンバ148内に流される。アンモニア分子は、半導体デバイス100上で吸収し、Nリッチ層120の一部を形成するためシリコン含有反応物の吸収された層と反応する。
フルNリッチ層120を形成するため、図4A及び図4Bを参照して説明したオペレーションが反復される。Nリッチ層120の所望の厚みに応じて、図4A及び図4Bを参照して説明したオペレーションが、例えば30回~120回、反復され得る。フルNリッチ層120の形成後、例えば図2B~図2Eを参照して説明されるように、半導体デバイス100の形成が進行し得る。
本発明の特許請求の範囲内で、説明した例示の実施例に改変が成され得、他の実施例が可能である。

Claims (16)

  1. 方法であって、
    半導体デバイスの基板を提供することであって、前記基板が半導体材料を含む、前記提供することと、
    FETのための領域において前記基板の上に窒素リッチシリコン窒化物層を形成することと、
    前記窒素リッチシリコン窒化物層の上にシリコン窒化物層を形成することと、
    前記シリコン窒化物層の上に前記FETのゲートを形成することと、
    を含み、
    前記窒素リッチシリコン窒化物層が、ジクロロシランとアンモニアとを用いて第1のLPCVDチャンバにおいて低圧化学気相成長(LPCVD)プロセスにより形成される、方法。
  2. 請求項1に記載の方法であって、
    前記シリコン窒化物層を形成することが、実質的に酸化反応物がない雰囲気で実施される、方法。
  3. 請求項2に記載の方法であって、
    前記窒素リッチシリコン窒化物層の形成の間に、前記アンモニアが前記ジクロロシランの流量の6~12倍の流量で前記第1のLPCVDチャンバ内に流される、方法。
  4. 請求項2に記載の方法であって、
    前記第1のLPCVDチャンバにおける前記基板の温度が、前記窒素リッチシリコン窒化物層の形成の間に600℃~740℃である、方法。
  5. 請求項2に記載の方法であって、
    前記窒素リッチシリコン窒化物層の上にシリコン窒化物層を形成することが、前記窒素リッチシリコン窒化物層上にシリコンリッチシリコン窒化物層を形成することを含む、方法。
  6. 請求項5に記載の方法であって、
    前記シリコンリッチシリコン窒化物層が、ジクロロシランとアンモニアとを用いて第2のLPCVDチャンバにおいてLPCVDプロセスにより形成される、方法。
  7. 請求項6に記載の方法であって、
    前記第2のLPCVDチャンバが前記第1のLPCVDチャンバである、方法。
  8. 請求項6に記載の方法であって、
    前記アンモニアが、前記シリコンリッチシリコン窒化物層の形成の間に前記ジクロロシランの流量の3~6倍の流量で前記第2のLPCVDチャンバ内に流される、方法。
  9. 請求項6に記載の方法であって、
    前記第2のLPCVDチャンバにおける前記基板の温度が、前記シリコンリッチシリコン窒化物層の形成の間に780℃~900℃である、方法。
  10. 請求項5に記載の方法であって、
    前記シリコンリッチシリコン窒化物層の厚みが5ナノメートル~20ナノメートルである、方法。
  11. 請求項10に記載の方法であって、
    前記シリコンリッチシリコン窒化物層が化学量論のシリコン窒化物材料の屈折率より0.025~0.040大きい屈折率を有し、前記屈折率が630ナノメートル~635ナノメートルの波長で判定される、方法。
  12. 請求項1に記載の方法であって、
    前記窒素リッチシリコン窒化物層の厚みが5ナノメートル~20ナノメートルである、方法。
  13. 請求項1に記載の方法であって、
    前記窒素リッチシリコン窒化物層が化学量論のシリコン窒化物材料の屈折率より0.015~0.030小さい屈折率を有し、前記屈折率が630ナノメートル~635ナノメートルの波長で判定される、方法。
  14. 方法であって、
    半導体デバイスの基板を提供することであって、前記基板が半導体材料を含む、前記提供することと、
    FETのための領域において前記基板の上に窒素リッチシリコン窒化物層を形成することと、
    前記窒素リッチシリコン窒化物層の上にシリコン窒化物層を形成することと、
    前記シリコン窒化物層の上に前記FETのゲートを形成することと、
    を含み、
    前記窒素リッチシリコン窒化物層の上にシリコン窒化物層を形成することが、前記窒素リッチシリコン窒化物層上に化学量論のシリコン窒化物層を形成することを含み、前記化学量論のシリコン窒化物層が約0.75のシリコン対窒素原子比を有する、方法。
  15. 方法であって、
    半導体デバイスの基板を提供することであって、前記基板が半導体材料を含む、前記提供することと、
    FETのための領域において前記基板の上に窒素リッチシリコン窒化物層を形成することと、
    前記窒素リッチシリコン窒化物層の上にシリコン窒化物層を形成することと、
    前記シリコン窒化物層の上に前記FETのゲートを形成することと、
    を含み、
    前記窒素リッチシリコン窒化物層が、テトラクロロシランとアンモニアとを用いて原子層堆積(ALD)チャンバにおいてALDプロセスにより形成される、方法。
  16. 請求項15に記載の方法であって、
    前記ALDプロセスが、
    前記基板を前記ALDチャンバにおいて約375℃の温度まで加熱することと、
    前記基板が約375℃の前記温度である間に、約170ミリトールの圧力を提供するために前記テトラクロロシランを前記ALDチャンバに流すことと、
    続いて、前記ALDチャンバへの前記テトラクロロシランを中断することと、
    続いて、前記基板を前記ALDチャンバにおいて約550℃の温度まで加熱することと、
    前記基板が約550℃の前記温度である間に、約300ミリトールの圧力を提供するために前記アンモニアを前記ALDチャンバに流すことと、
    続いて、前記ALDチャンバへの前記アンモニアを中断することと、
    を含む、方法。
JP2018567698A 2016-06-23 2017-06-23 閾値シフトの低減のためのシリコン窒化プロセス Active JP7121237B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/191,500 2016-06-23
US15/191,500 US9741557B1 (en) 2016-06-23 2016-06-23 Silicon nitride process for reduction of threshold shift
PCT/US2017/039150 WO2017223541A1 (en) 2016-06-23 2017-06-23 Silicon nitride process for reduction of threshold shift

Publications (3)

Publication Number Publication Date
JP2019519937A JP2019519937A (ja) 2019-07-11
JP2019519937A5 JP2019519937A5 (ja) 2020-07-30
JP7121237B2 true JP7121237B2 (ja) 2022-08-18

Family

ID=59581289

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018567698A Active JP7121237B2 (ja) 2016-06-23 2017-06-23 閾値シフトの低減のためのシリコン窒化プロセス

Country Status (4)

Country Link
US (1) US9741557B1 (ja)
JP (1) JP7121237B2 (ja)
CN (1) CN109219887B (ja)
WO (1) WO2017223541A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016066641A (ja) * 2014-09-22 2016-04-28 株式会社東芝 半導体装置及び半導体装置の製造方法
US11037851B2 (en) 2019-08-30 2021-06-15 Applied Materials, Inc. Nitrogen-rich silicon nitride films for thin film transistors
US11819847B2 (en) 2020-07-20 2023-11-21 Applied Materials, Inc. Nanofluidic device with silicon nitride membrane

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008205392A (ja) 2007-02-22 2008-09-04 Fujitsu Ltd 半導体装置及びその製造方法
JP2009200306A (ja) 2008-02-22 2009-09-03 Eudyna Devices Inc 半導体装置の製造方法
US20110298060A1 (en) 2010-06-02 2011-12-08 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
JP2015103780A (ja) 2013-11-28 2015-06-04 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972804A (en) * 1997-08-05 1999-10-26 Motorola, Inc. Process for forming a semiconductor device
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
KR100560654B1 (ko) * 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
CN102915952B (zh) * 2011-08-04 2014-11-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103632968B (zh) * 2012-08-21 2016-10-05 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9614105B2 (en) * 2013-04-22 2017-04-04 Cypress Semiconductor Corporation Charge-trap NOR with silicon-rich nitride as a charge trap layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008205392A (ja) 2007-02-22 2008-09-04 Fujitsu Ltd 半導体装置及びその製造方法
JP2009200306A (ja) 2008-02-22 2009-09-03 Eudyna Devices Inc 半導体装置の製造方法
US20110298060A1 (en) 2010-06-02 2011-12-08 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
JP2015103780A (ja) 2013-11-28 2015-06-04 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法

Also Published As

Publication number Publication date
WO2017223541A1 (en) 2017-12-28
CN109219887B (zh) 2022-11-01
JP2019519937A (ja) 2019-07-11
CN109219887A (zh) 2019-01-15
US9741557B1 (en) 2017-08-22

Similar Documents

Publication Publication Date Title
JP7434679B2 (ja) ノーマリーオフiii-窒化物トランジスタ
JP5746238B2 (ja) バルク基板上に作製される分離トライゲートトランジスタ
JP5380827B2 (ja) 半導体装置の製造方法
CN105977144B (zh) FinFET沟道的形成方法及其结构
CN106469653B (zh) 半导体装置及其制造方法
JP4982958B2 (ja) 半導体装置とその製造方法
US9530654B2 (en) FINFET fin height control
CN108735604B (zh) 晶体管的形成方法
JP7121237B2 (ja) 閾値シフトの低減のためのシリコン窒化プロセス
CN103545360A (zh) 高电子迁移率晶体管及其形成方法
US20110169105A1 (en) Semiconductor device and method for manufacturing the same
US20230377898A1 (en) Methods for reducing scratch defects in chemical mechanical planarization
KR20100014885A (ko) 실리콘/게르마늄 손실을 줄이면서 트랜지스터들 내에 실리콘/게르마늄 함유 드레인/소스 영역들을 형성하는 방법
CN111095524B (zh) 用于使用保护阻挡物层制造半导体结构的设备和方法
US20090142899A1 (en) Interfacial layer for hafnium-based high-k/metal gate transistors
US11289604B2 (en) Method for fabricating a semiconductor device
TW202040699A (zh) 半導體裝置結構的形成方法
CN108122742A (zh) 半导体装置结构的制造方法
US9455141B2 (en) Silicon-germanium fin of height above critical thickness
TW202141621A (zh) 基板處理方法及基板處理裝置
US20200251554A1 (en) Isolation regions for reduced junction leakage
CN108574009B (zh) 鳍式场效应管及其形成方法
TWI699829B (zh) 形成半導體結構的方法及形成鰭狀場效電晶體結構的方法
TWI606516B (zh) 半導體裝置及其形成方法
JP2006093242A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20181225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200619

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200619

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20210218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210602

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210811

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220207

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20220513

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220706

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220707

R150 Certificate of patent or registration of utility model

Ref document number: 7121237

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150