JP6783235B2 - 加工物を保持及び加熱する装置、加工物を加熱する方法及びリングヒータアセンブリ - Google Patents

加工物を保持及び加熱する装置、加工物を加熱する方法及びリングヒータアセンブリ Download PDF

Info

Publication number
JP6783235B2
JP6783235B2 JP2017531856A JP2017531856A JP6783235B2 JP 6783235 B2 JP6783235 B2 JP 6783235B2 JP 2017531856 A JP2017531856 A JP 2017531856A JP 2017531856 A JP2017531856 A JP 2017531856A JP 6783235 B2 JP6783235 B2 JP 6783235B2
Authority
JP
Japan
Prior art keywords
ring heater
heater assembly
platen
ring
protective shield
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017531856A
Other languages
English (en)
Other versions
JP2018506175A5 (ja
JP2018506175A (ja
Inventor
エム アネラ スティーヴン
エム アネラ スティーヴン
エイ スクラマイヤー マイケル
エイ スクラマイヤー マイケル
Original Assignee
ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド, ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド filed Critical ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
Publication of JP2018506175A publication Critical patent/JP2018506175A/ja
Publication of JP2018506175A5 publication Critical patent/JP2018506175A5/ja
Application granted granted Critical
Publication of JP6783235B2 publication Critical patent/JP6783235B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/26Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor mounted on insulating base
    • H05B3/265Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor mounted on insulating base the insulating base being an inorganic material, e.g. ceramic
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B2203/00Aspects relating to Ohmic resistive heating covered by group H05B3/00
    • H05B2203/014Heaters using resistive wires or cables not provided for in H05B3/54

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Resistance Heating (AREA)

Description

本開示の実施形態は、処理中の加工物の温度均一性、より詳細には、加熱された加工物の温度均一性を向上するための装置及び方法に関する。
半導体デバイスの製造は、複数の離散的かつ複雑な工程を有する。これらの工程を実行するために、加工物は、一般的に、プラテン上に配置される。プラテンは、プラテン内の電極によって生成される静電力の適用によって加工物を保持するように設計された静電チャックであってよい。
プラテンは、一般的に、支持する加工物よりも直径がわずかに小さく設計される。これは、プラテンが入射するイオンビームに曝されないようにするためである。イオンビームと接触すると、汚染物質が生成されたり、プラテンを傷つける可能性がある。
加工物を所定の位置に保持することに加えて、プラテンは、加工物を加熱または冷却することもできる。具体的には、プラテンは、一般的に、ある実施形態においては加工物から熱を吸収することができ、また他の実施形態においては加工物に熱を供給することができる大きな材料の塊である。ある実施形態において、プラテンは、プラテンの上面と加工物の裏面との間の空間に裏面ガスを供給する導管を上面に有する。
プラテンは加工物よりもいくらか小さいので、加工物の外縁部は、プラテンによって効果的に加熱または冷却されないことがある。このため、プラテンが加工物に熱を供給する実施形態において、加工物の外縁部は、加工物の残りの部分よりも低温となりうる。逆に、プラテンが加工物から熱を取り除く実施形態において、加工物の外縁部が加工物の残りの部分よりも高温となりうる。
この温度差は、加工物の歩留まりに影響を与えうる。したがって、加工物全体でより優れた温度均一性を実現する装置及び方法があれば有益であり、特に加工物がプラテンによって加熱される実施形態においては有益である。
処理中の加工物の温度均一性を向上するための装置及び方法を開示する。この装置は、プラテンの外周に沿って配置されたリングヒータアセンブリを備える。リングヒータアセンブリは、その内部またはその上面に配置された加熱素子を備え、これらの加熱素子は熱を生成し、加工物の外縁部を加熱する。ある実施形態において、リングヒータアセンブリは、加工物の縁部を超えて延び、イオンビームに曝される。
一実施形態によると、加工物を保持及び加熱する装置が開示される。この装置は、プラテンと、プラテンの外周を囲むリングヒータアセンブリとを備え、リングヒータアセンブリは、上面に保護シールドを備え、前記保護シールドの下に配置された加熱素子を備える。さらなる実施形態において、加熱素子は、保護シールド内に収容されうる。ある実施形態において、保護シールドはセラミック材料である。ある実施形態において、取り付け構造は、リングヒータアセンブリを所定の位置に保持し、複数の屈曲部を備える。ある実施形態において、取り付け構造は、プラテンを保持するために使用される基部と接続する。ある実施形態において、リングヒータアセンブリは、上面の近傍に配置された温度センサをも備える。ある実施形態において、電源がリングヒータアセンブリに接続される。
他の実施形態によると、加工物を加熱する方法が開示される。この方法は、裏面ガスを利用した熱伝導を用いて加工物の第1部分に熱を供給するステップと、放射熱を用いて加工物の第2部分に熱を供給するステップと、を含む。ある実施形態において、第2部分は加工物の外縁部であってよい。
他の実施形態によると、リングヒータアセンブリが開示される。リングヒータアセンブリは、リング状の保護シールドと、リング状の保護シールドの下に配置された加熱素子と、リング状の保護シールドを所定の位置に配置するための取り付け構造とを備える。ある実施形態において、取り付け構造は、基部と接続された取り付けフレーム及び取り付けフレームから加熱素子に向かって延びる複数の屈曲部を備える。
本発明の理解を深めるために、本明細書に添付された以下の添付図面を参照する。
一実施形態におけるリングヒータアセンブリの図である。 他の実施形態におけるリングヒータアセンブリの図である。 加熱素子の異なる実施形態を示す図である。 加熱素子の異なる実施形態を示す図である。 一実施形態における加熱素子及び取り付けフレームの図である。 一実施形態におけるリングヒータとプラテン基部との間の接続を示す図である。 一実施形態におけるリングヒータとプラテン基部との間の接続を示す図である。 異なる突出部を有する実施形態を示す。 異なる突出部を有する実施形態を示す。 異なる突出部を有する実施形態を示す。
上述のとおり、従来のプラテン上に配置された加工物の縁部はプラテンから突出するため、これらの縁部が加工物の残りの部分と異なる温度となってしまう。
図1において、リングヒータアセンブリ100の第1の実施形態を示す。この実施形態において、リングヒータアセンブリ100は、プラテン10の外周に近接して配置され、プラテン10の外周を囲んでいる。プラテン10は、静電チャック(ESC)、または任意の他の種類のプラテンであってよい。ある実施形態において、プラテン10は、プラテン10の上面まで延びる複数の導管を備え、これはプラテン10の上面と加工物30の底面との間の空間に裏面ガスを供給する。プラテン10は、その外縁部の近傍に外部密封リング(図示なし)を有することもあり、これはこの空間内に裏面ガスを閉じ込める役割を果たし、裏面ガス漏れを最小にする。外部密封リングは、プラテン10の上面から上方に延び、加工物30と接触し、裏面ガスを内包する壁を形成する。外部密封リングは、加工物30と接触するので有効である。さらに、プラテン10は、上部誘電体層を備え、その下に複数の電極が配置されうる。交流電圧波をこれらの電極に印加することにより、加工物30をプラテン10上の所定の位置に保持する静電力を発生させることができる。この上部誘電体層は、イオンビームの衝突に耐えられないことがある。このため、外部密封リングが加工物30に接触するので、一般的にその上に配置される加工物30より小さいプラテン10にイオンビームが衝突できないことを保証する。ある実施形態において、他の寸法も可能であり、本発明の範囲内ではあるが、加工物30はプラテン10から2〜3mm突出する。
プラテン10は、プラテン10の支持に使用される基部20上に配置されうる。基部20は、プラテン10とは異なる材料で作られうる。基部20から外側に向けて延びる取り付け構造は、1つ以上の屈曲部110を備える。これらの屈曲部110は、一端で基部20に結合され、プラテン10の縁部を越えて外側及び上方に延びる。これらの屈曲部110は、任意の適切な材料でよい。ある実施形態において、屈曲部110は、以下でより詳細に説明するように、電線が内部に配置された管とされる。他の実施形態において、屈曲部110は中実とされ、電線120は屈曲部110の外側に配置される。これらの屈曲部110は、リングヒータアセンブリ100を所定の位置に保持するために使用される取り付けフレーム160と接続することもある。取り付けフレーム160は、1つ以上の加熱素子130を所定の位置に保持することもある。取り付けフレーム160は、屈曲部110の一端を保持し、基部20と接続するブロックであってよい。取り付けフレーム160と屈曲部110との間の接続は、ネジまたはクランプなどの機械的な接続であってよい。勿論、リングヒータアセンブリ100を所定の位置に保持するために他の取り付け構造を使用してもよい。
図1には2層の加熱素子130が示されているが、本発明は特定の数の加熱素子に限定されるものではない。これらの加熱素子130は、保護シールド140によって保護されうる。この保護シールド140はセラミック材料とすることができ、他の材料を用いてもよい。保護シールド140の材料は、スパッタリングに耐性があるような、イオンビームに対して比較的に不透過性であるものを選択することができる。さらに、材料は、スパッタされた材料が加工物を汚染するのを最小限にするように選択されうる。炭化ケイ素及び黒鉛はそのような材料であるが、他の材料を使用することもできる。
図1に示すように、保護シールド140は、加工物30がプラテン10上に配置されたときに、加工物30が保護シールド140に接触しないように配置されてもよい。
さらに、熱シールド150が、加熱素子130の下に配置されてもよい。この熱シールド150は、加熱素子130から発生した熱を保護シールド140に向けて上向きにすることにより、加熱素子130から保護シールド140に送られる熱を最大化する。熱シールド150はイオンビームに曝されないので、金属で構成することができるが、他の材料を使用することもできる。
動作中、電線120を介して電力が加熱素子130に供給され、加熱素子130は、電気エネルギを熱に変換する。この熱は、熱シールド150によって保護シールド140に向かって上方に反射される。保護シールド140はこの熱を吸収し、温度を上昇させる。次に、保護シールド140は、熱放射によってその上に配置された加工物30を加熱する。
電力を供給するために、リングヒータアセンブリ100は、電源(図示なし)と接続される。ある実施形態において、電源は可変の出力を供給することができ、このため、加熱素子130によって生成される熱量を制御することができる。ある実施形態において、温度センサ(図示せず)がリングヒータアセンブリ100の上またはその近傍に配置され、電源の出力は閉ループ制御を使用して制御される。他の実施形態において、温度センサは、リングヒータアセンブリ100に組み込まれうる。電源は、リングヒータアセンブリ100の所望の温度及び温度センサによって測定された実際の温度に基づいて出力を調整する。他の実施形態において、電源の出力が較正され、所望の温度と出力レベルとを一致させるテーブルが作成される。その後、このテーブルを使用して、所望の温度に基づいて電源の適切な出力を判定する。
図1は、2層の加熱素子130を有するリングヒータアセンブリ100を示す。しかしながら、他の実施形態も可能である。例えば、加熱素子130は、セラミックまたは含浸黒鉛材料の中に配置されてもよい。
図2は、リングヒータアセンブリ200の他の実施形態を示す。この実施形態において、加熱素子は、1つ以上のリングヒータ210に入れられる。リングヒータ210はセラミックまたは含浸黒鉛材料であってもよく、その中に加熱素子が内部に配置される。ある実施形態において、別個の保護シールドは使用されず、リングヒータ210機能を構成する材料が保護シールドとしても機能する。この実施形態において、熱シールド220がリングヒータ210の下側に配置されてもよい。なお、この実施形態において、プラテン10は先細の縁部を有することに留意されたい。この実施形態において、リングヒータ210は、プラテン10と同一平面になるように、またはプラテン10とほぼ面一平面になるように構成することができる。プラテン10が先細りを有さない場合、リングヒータ210もこの先細りなしに構成することができる。
図示されていないが、リングヒータ210内部の加熱素子は、上述のとおり電源と接続されている。
さらに、リングヒータ210は、内部に配置された温度センサ230を備えることもある。温度センサは、熱電対または抵抗温度検出器(RTD)であってもよい。一実施形態において、図2に示すように、空洞231がリングヒータ210に開けられ、温度センサ230が空洞231に挿入されることがある。ある実施形態において、温度センサ230は、リングヒータ210の上面に近接して配置され、加工物30の近傍の温度をより正確に判定する。
加工物30は、プラテン10の縁部を越えて延び、リングヒータ210の一部の上方に配置されることに留意されたい。突出部と呼ばれるこの部分は変化しうる。ある実施形態において、加工物のわずか2〜3mmだけが突出部を構成する。しかし、他の実施形態において、プラテン10は加工物に対して小さくされるため、突出部分が大きくなることがある。例えば、ある実施形態において、突出部分は、15〜20mm程度の大きさとされる。例えば、図2において、約2〜3mmの突出部が示されている。しかしながら、プラテン10の直径は、例えば、5mm、10mm、15mm、20mm、または25mm減少させることができ、それに対応してリングヒータ210の幅は増加する。突出部は、本開示によって制限されない。
図3Aは、リングヒータ300の一実施形態を示しており、これは図2に示す実施形態において使用することができる。この実施形態において、1つ以上の加熱素子310が外部材料320内部に配置される。これらの加熱素子310は、エネルギーを消費して熱を発生させるように設計された抵抗線ヒータであってもよい。外部材料320は、セラミック、含浸黒鉛または他の材料であってもよい。ある実施形態において、加熱素子310は、外部材料320から物理的に分離するために、金属管315内に入れられることがある。ある実施形態において、リングヒータ300は、温度センサを備えることがある。図2に示すように、ある実施形態において、外部材料320に空洞が開けられ、温度センサを開けられた空洞内に配置することができる。他の実施形態において、温度センサ380は、外部材料320内の上面近傍に設置されうる。あるいは、外部材料320の上面に空洞が設けられ、温度センサ380がその空洞内に配置されることもある。
図3Bは、リングヒータ350の他の実施形態を示しており、これは図2に示す実施形態で使用することができる。この実施形態において、加熱素子360は平坦であり、第1層370と第2層371との間に封入されうる。このリングヒータ350を製造するために、加熱素子360は、未焼成セラミック材料とされうる第2層371上にシルクスクリーン、直接描画、または配置される。未焼成セラミック材料とされうる第1層370は、次に、第2層371の上に配置される。それから、このアセンブリは焼成または焼結され、埋め込まれたヒータを有するセラミックのモノリシック片となる。さらに、温度センサ380は、上述の方法のいずれかを使用して、第1層370に埋め込まれてもよい。
図4は、プラテン10から分離されたリングヒータアセンブリ400の実施形態を示している。この実施形態において、リングヒータアセンブリ400は、それぞれが全周の約1/3である3つのリングヒータ410によって構成される。より多くのリングヒータが使用される場合、それぞれは図4に示されるものよりも小さくなる。分離されたリングヒータ410の使用によって、熱応力を最小にしながら熱膨張を可能にすることができる。取り付けフレーム420が、それぞれ隣接するリングヒータ410の間に配置される。取り付けフレーム420は屈曲部(図1参照)を保持し、リングヒータ410を支持し、リングヒータ410の内部に配置された加熱素子に電力を供給するための電気導管を提供する。図示されていないが、リングヒータ410は、保護シールドによって覆われてもよい。リングヒータ410は、図3A〜3Bに示す種類、または他の適切な種類のものであってもよい。
図5Aは、図4に示すリングヒータ410と基部20との接続の一実施形態を示す底面図である。図5Bは、この実施形態の上面図を示す。この実施形態において、基部20は取り付けフレーム420に組み合わされた1つ以上の受け入れ部500を備える。ある実施形態において、3つ以上の受け入れ部500が基部20の外周周辺に等しく配置される。取り付けフレーム420は、屈曲部510を保持し、リングヒータ410を支持することができる。上述のように、屈曲部510は、ネジまたはクランプ等の機械的接続を使用して取り付けフレーム420に固定されてもよい。ある実施形態において、取り付けフレーム420は、リングヒータ410に電力を供給する1つ以上の電気導管520も備える。他の実施形態において、電気導管520は、屈曲部510に配置されうる。
屈曲部510は、様々な方法でリングヒータ410に接続されうる。一実施形態において、屈曲部510の遠位端に接合されたフランジであってもよい。フランジは、高温ろう付けを用いて接合できる。ネジ付き構造を持つブロック522がリングヒータ410の下側に取り付けられうる。ネジ付き構造はフランジを受け入れる。フランジとネジ付き構造とはネジ止めで接続できる。リングヒータ410へのブロック522の取り付けは、様々な方法で行うことができる。一実施形態において、リングヒータ410はセラミックであってもよい。この実施形態において、ブロック522は金属であってもよく、リングヒータ410にろう付けしてもよい。別の実施形態において、リングヒータ410は黒鉛であってもよい。この実施形態において、黒鉛が挿入部を有するネジを受け入れられるので、従来のネジ付き機器を使用することができる。他の実施形態において、ブロック522は、黒鉛リングヒータの底部の機械加工された特徴部の周囲にクランプされてもよい。別の実施形態において、リングヒータ410の下に熱シールド(図2参照)が配置される。この熱シールドが金属である場合、屈曲部510は熱シールドに接続することができる。
図4、5A及び5Bは、基部20をリングヒータアセンブリに取り付けるために使われる取り付けフレーム420の一実施形態を示しているが、本発明はこの実施形態に限定されない。リングヒータアセンブリを所定の位置に保持するために、他の支持する仕組みが使われうる。
これらの実施形態のすべてにおいて、リングヒータアセンブリは、プラテン10の外周を取り囲む環状のリングである。リングヒータアセンブリの上部は、加工物30がリングヒータアセンブリに接触しないようにプラテン10の上面のレベルよりわずかに低くなるように配置することができる。
各実施形態において、リングヒータアセンブリは、リングヒータアセンブリの上面に配置された保護シールドを備える。保護シールドは、イオンビームに曝されるので、セラミック、含浸黒鉛またはスパッタリングに耐性のある任意の適切な材料で作られうる。
1つ以上の加熱素子が保護シールドの下にある。これらの加熱素子は、一般的に電源から電力が供給される抵抗素子である。加熱素子を通過する電気エネルギは熱に変換され、加熱素子及び周囲のもの、例えば保護シールドを加熱する。ある実施形態において、加熱素子は、保護シールドとして機能するセラミックまたは黒鉛の殻に箱詰めまたは封入される。ある実施形態において、温度センサは、殻または外部材料に組み込まれるか、または他の方法で固定される。
熱シールドは加熱素子の下に配置され、熱をリングヒータアセンブリの上面に向かって反射する。この熱シールドは、イオンビームに曝されないために、金属とすることができる。
さらに、リングヒータアセンブリは、取り付け構造を用いて適切な位置に保持されうる。ある実施形態において、取り付け構造は、基部から延びる複数の屈曲部を備える。しかし、他の取り付け構造を使用することもできる。
最後に、リングヒータアセンブリは、加熱素子に電力を供給する電源と接続されることがある。上述のとおり、電源は可変の出力を供給することができ、加熱素子により生成される熱量を制御することができる。ある実施形態において、温度センサがリングヒータアセンブリの上面またはその近傍に配置され、電源の出力は閉ループ制御を使用して制御される。この実施形態において、コントローラ(図示なし)を温度センサ及び電源と接続することができる。コントローラはまた、リングヒータアセンブリの所望の温度に関する入力を受信することができる。その後、コントローラは、温度センサからの入力を使用して、電源の出力を調整する。この処理はリングヒータアセンブリの温度がプラテンの温度と一致するように行われる。別の実施形態において、電源の出力は、所望の温度と出力レベルとを一致させるテーブルを使用してコントローラによって制御される。この実施形態において、コントローラは、リングヒータアセンブリの所望の温度に関する入力を受信する。次に、コントローラはテーブルにインデックスを付けて、電源からの適切な出力を判定する。
ある実施形態において、リングヒータアセンブリは、加工物が周囲の温度以上に加熱される状況で使用される。上述のとおり、従来のプラテンにおいて、加工物はプラテンの縁部から約2〜3mm突出している。さらに、外部密封リングは、プラテンの縁部の数ミリメートル手前に配置されてもよい。上述のとおり、外部密封リングは、加工物30とプラテン10との間に裏面ガスを閉じ込めるバリアを提供する。この裏面ガスは、裏面ガスがプラテンに加熱され、その熱を加工物に伝達するような、プラテンと加工物との間における加熱の仕組みを提供する。言い換えると、プラテン10は、裏面ガスを使用して熱伝導による加熱を提供する。このように、外部密封リングを越えて延びる加工物30の部分は、プラテン10及び裏面ガスによって効果的に加熱されない。実際に、一例において、加工物30を600℃に維持するようにプラテン10を加熱した。加工物30の大部分はこの温度に維持されたが、加工物30の外側部分はそうでなかった。実際に、一例において、加工物30の外縁部は、加工物30の残りの部分よりも50℃温度が低かった。
上記のシナリオを、リングヒータアセンブリをプラテンの周囲に取り付けた状態で、再実施した。この例において、加工物30の外縁部の温度は、加工物30の残りの部分から5℃の範囲内となり、本手法の有効性が実証された。
リングヒータアセンブリと加工物30とが接触していないため、リングヒータアセンブリは、放射熱を介して加工物を加熱する。さらに、リングヒータアセンブリと加工物30との間の空間に裏面ガスも存在していない。
ある実施形態において、加工物に対するプラテン10の直径は、リングヒータアセンブリの存在により低減されうる。上述のとおり、ある実施形態において、加工物30の突出部は2〜3mmとされうる。しかし、他の実施形態において、突出部は、10mm、15mm、20mm、またはそれ以上の値まで増加させられる。この手法により、加工物30上の全体の温度プロファイルには影響を与えずに、リングヒータアセンブリに構造的剛性を加えることができる。
また、図6A〜Cは、様々な突出部を有する実施形態を示す。これらの実施形態において、プラテンの縁部が先細になっていないことに留意されたい。このため、これらの実施形態において、リングヒータの内周はプラテンに沿って直線状となる。
図6Aにおいて、突出部は約2〜3mmである。言い換えると、リングヒータ600の上方に加工物30の約2〜3mmの部分が配置される。一実施形態において、加工物30は300mmの直径を有し、プラテン10は約295mmの直径を有しうる。この実施形態において、リングヒータ600は、約7〜22mmの幅を有しうる。
図6Bにおいて、突出部は約17mmである。この実施形態において、加工物30は300mmの直径を有し、プラテン610は約266mmの直径を有しうる。この実施形態において、リングヒータ611は約22〜35mmの幅を有しうる。
図6Cにおいて、突出部は約30mmである。この実施形態において、加工物30は300mmの直径を有し、プラテン620は約240mmの直径を有しうる。この実施形態において、リングヒータ621は訳35〜50mmの幅を有しうる。
これらの実施形態のそれぞれにおいて、リングヒータの外径は変化せず、加工物30の外径と関連している。リングヒータの内径は、プラテンの外径に基づいて変化する。リングヒータの外径は、任意の量だけ加工物30の外径を越えて延びうる。ある実施形態において、リングヒータの外径を加工物30の外径を超えて大きくすることによって、加工物30の外縁部で失われる熱が減少する。ある実施形態において、リングヒータの外径は、加工物30の外縁部を少なくとも5mm超えるように選択される。他の実施形態において、リングヒータの外径は、加工物の外縁部を20mm以上超えて延ばされうる。もちろん、加工物30の直径とリングヒータの外径との間における他の関係が使用されることもある。例えば、リングヒータの外径は、加工物30の縁部で失われる放射熱及びリングヒータの全体のサイズを最適化するように選択されることがある。
したがって、一実施形態において、リングヒータアセンブリは、加工物を保持及び加熱する装置の一部である。加工物を保持及び加熱する装置は、プラテンと、プラテンの外周を囲むリングヒータアセンブリとを備える。プラテンは、裏面ガスを利用した熱伝導を用いて加工物を加熱する。プラテンは、静電力を使用して加工物を所定の位置に保持することもできる。プラテンを取り囲む環状リングであるリングヒータアセンブリは、放射熱を用いて加工物を加熱する。
この組み合わせは、他の手法によって生成するよりも、加工物全体にわたってより均一な温度プロファイルを生成するのに効果的である。
本発明の開示において、加熱されたプラテンを有するリングヒータの使用が記載されているが、本発明はこの実施形態に限定されない。例えば、ある実施形態において、イオン注入を室温で行うことができる。しかし、室温であっても、加工物の外縁部が加工物の他の部分よりも低温である場合があり、加工物の外周近傍の温度にいくらかの変化が生じる可能性がある。リングヒータアセンブリの使用は、外縁部におけるこの温度のロールオフを最小化することができる。
本発明は本明細書に記載の特定の実施形態によって保護範囲が制限されるものではない。実際に、本明細書の記載されたものに加えて、本発明の他の様々な実施形態及び変更は、前述の説明及び添付の図面から当業者には明らかである。したがって、これらの他の実施形態及び修正は、本発明の保護範囲に含まれるものとする。さらに、本発明は、特定の目的のための特定の環境における特定の実装に関する説明として記載されているが、有用性はこの記載に限定されず、本発明が様々な目的のために様々な環境で有益に実装されうることを当業者は認識することができる。したがって、以下に記載される特許請求の範囲は、本明細書に記載される発明の完全な範囲及び精神を考慮して解釈されるものである。

Claims (18)

  1. 加工物を保持及び加熱する装置であって、
    プラテンと、
    前記プラテンを支持する基部と、
    前記プラテンの外周を囲むリングヒータアセンブリであって、前記リングヒータアセンブリは、上面に保護シールドを備え、当該保護シールドの下に配置された加熱素子を備える、前記リングヒータアセンブリと、
    前記基部と接続され、前記リングヒータアセンブリを所定の位置に保持するための取り付けフレームと、
    前記取り付けフレームから外側に延び、前記リングヒータアセンブリと接続される、複数の屈曲部と、を備え、
    前記複数の屈曲部は、湾曲し、前記取り付けフレームから前記プラテンの前記外周を越えて外側及び上方に延び、前記複数の屈曲部は前記リングヒータアセンブリを支持する、装置。
  2. 前記加熱素子は前記保護シールド内に収容されている、請求項1に記載の装置。
  3. 前記保護シールドはセラミック材料である、請求項2に記載の装置。
  4. 前記リングヒータアセンブリと接続された電源をさらに備える、請求項1に記載の装置。
  5. 前記リングヒータアセンブリの前記上面の近傍に配置された温度センサをさらに備え、前記電源は当該温度センサからのフィードバックに基づいて出力を制御する、請求項4に記載の装置。
  6. 前記電源は所望の温度に基づいて出力を調整する、請求項5に記載の装置。
  7. リング状の保護シールドと、
    前記リング状の保護シールドの下に配置された加熱素子と、
    前記リング状の保護シールドを所定の位置に配置するための取り付け構造と、を備え、
    前記取り付け構造は、基部と接続された取り付けフレーム及び前記取り付けフレームから前記加熱素子に向かって延びる複数の屈曲部を備え、
    前記複数の屈曲部は、前記取り付けフレームから外側に延び、リングヒータアセンブリと接続され、
    前記複数の屈曲部は、湾曲し、前記取り付けフレームからプラテンの外周を越えて外側及び上方に延び、前記複数の屈曲部は前記リングヒータアセンブリを支持する、リングヒータアセンブリ。
  8. 前記リング状の保護シールドは、セラミックまたは黒鉛を含有する、請求項7に記載のリングヒータアセンブリ。
  9. 前記加熱素子は、前記リング状の保護シールド内に収容されている、請求項8に記載のリングヒータアセンブリ。
  10. 前記リングヒータアセンブリの上面の近傍に配置された温度センサをさらに備える、請求項7に記載のリングヒータアセンブリ。
  11. 前記基部は、前記基部の外周の周辺に配置される受け入れ部を備え、前記取り付けフレームは、前記受け入れ部を用いて前記基部に接続する、請求項1に記載の装置。
  12. 前記リングヒータアセンブリの下側に取り付けられたブロックをさらに備え、前記屈曲部は前記ブロックに取り付けられる、請求項1に記載の装置。
  13. 前記屈曲部は前記ブロックにネジ付き接続を用いて取り付けられる、請求項12に記載の装置。
  14. 前記屈曲部は管を備える、請求項1に記載の装置。
  15. 電気導管は、前記複数の屈曲部のうちの1つに配置される、請求項1に記載の装置。
  16. 前記リングヒータアセンブリの下に配置される熱シールドをさらに備える、請求項1に記載の装置。
  17. 前記プラテンは加熱される、請求項1に記載の装置。
  18. 前記プラテンと前記プラテンの上に配置される加工物との間の空間に裏面ガスを供給するために、前記プラテンは、前記プラテンの上面まで延びる複数の導管を備える、請求項17に記載の装置。
JP2017531856A 2014-12-18 2015-11-23 加工物を保持及び加熱する装置、加工物を加熱する方法及びリングヒータアセンブリ Active JP6783235B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/575,651 US10109510B2 (en) 2014-12-18 2014-12-18 Apparatus for improving temperature uniformity of a workpiece
US14/575,651 2014-12-18
PCT/US2015/062182 WO2016099817A1 (en) 2014-12-18 2015-11-23 Apparatus for improving temperature uniformity of a workpiece

Publications (3)

Publication Number Publication Date
JP2018506175A JP2018506175A (ja) 2018-03-01
JP2018506175A5 JP2018506175A5 (ja) 2018-11-29
JP6783235B2 true JP6783235B2 (ja) 2020-11-11

Family

ID=56127301

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017531856A Active JP6783235B2 (ja) 2014-12-18 2015-11-23 加工物を保持及び加熱する装置、加工物を加熱する方法及びリングヒータアセンブリ

Country Status (6)

Country Link
US (1) US10109510B2 (ja)
JP (1) JP6783235B2 (ja)
KR (1) KR102411023B1 (ja)
CN (1) CN107078058A (ja)
TW (1) TWI704835B (ja)
WO (1) WO2016099817A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9633875B2 (en) * 2015-03-13 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Apparatus for improving temperature uniformity of a workpiece
US11737176B2 (en) 2019-03-28 2023-08-22 Mks Instruments, Inc. Low profile heater apparatus and method of manufacture
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
US11664193B2 (en) * 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
US20230132307A1 (en) * 2021-10-26 2023-04-27 Applied Materials, Inc. Chuck For Processing Semiconductor Workpieces At High Temperatures

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6084213A (en) 1998-05-18 2000-07-04 Steag C.V.D. Sytems, Ltd. Method and apparatus for increasing temperature uniformity of heated wafers
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
JP4203206B2 (ja) * 2000-03-24 2008-12-24 株式会社日立国際電気 基板処理装置
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
KR100443122B1 (ko) 2001-10-19 2004-08-04 삼성전자주식회사 반도체 소자 제조장치용 히터 어셈블리
JP2003213421A (ja) * 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
US6768084B2 (en) 2002-09-30 2004-07-27 Axcelis Technologies, Inc. Advanced rapid thermal processing (RTP) using a linearly-moving heating assembly with an axisymmetric and radially-tunable thermal radiation profile
JP4540953B2 (ja) 2003-08-28 2010-09-08 キヤノンアネルバ株式会社 基板加熱装置及びマルチチャンバー基板処理装置
US20050133165A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Apparatus for the prevention of arcing in a CVD-TiN chamber
KR100811389B1 (ko) * 2006-03-24 2008-03-07 가부시키가이샤 뉴플레어 테크놀로지 반도체 제조 장치와 히터
JP5039076B2 (ja) * 2008-03-24 2012-10-03 株式会社東芝 エピタキシャルウェーハの製造装置及び製造方法
US8206552B2 (en) * 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
JP5274918B2 (ja) * 2008-07-07 2013-08-28 東京エレクトロン株式会社 プラズマ処理装置のチャンバー内部材の温度制御方法、チャンバー内部材及び基板載置台、並びにそれを備えたプラズマ処理装置
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
JP5357639B2 (ja) * 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
KR101559913B1 (ko) * 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
JP5275935B2 (ja) * 2009-07-15 2013-08-28 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
JP5802052B2 (ja) * 2011-05-19 2015-10-28 株式会社ニューフレアテクノロジー 半導体製造装置及び半導体製造方法
US20130164948A1 (en) 2011-12-22 2013-06-27 Intermolecular, Inc. Methods for improving wafer temperature uniformity
KR20190132561A (ko) 2012-01-06 2019-11-27 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US8933375B2 (en) * 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate

Also Published As

Publication number Publication date
US10109510B2 (en) 2018-10-23
WO2016099817A1 (en) 2016-06-23
KR20170095983A (ko) 2017-08-23
TWI704835B (zh) 2020-09-11
KR102411023B1 (ko) 2022-06-20
US20160181132A1 (en) 2016-06-23
TW201630465A (zh) 2016-08-16
JP2018506175A (ja) 2018-03-01
CN107078058A (zh) 2017-08-18

Similar Documents

Publication Publication Date Title
JP6783235B2 (ja) 加工物を保持及び加熱する装置、加工物を加熱する方法及びリングヒータアセンブリ
US10512125B2 (en) Mounting table and substrate processing apparatus
JP5320171B2 (ja) 基板処理装置
US10937672B2 (en) Heating device and heating chamber
JP5459907B2 (ja) 基板載置装置の評価装置、及びその評価方法、並びにそれに用いる評価用基板
JP6934080B2 (ja) 熱絶縁電気接点プローブ及び加熱プラテンアセンブリ
KR102434283B1 (ko) 정전 척 및 반응 챔버
JP6876677B2 (ja) 放射装置及び放射装置を用いた処理装置
JPH11354526A (ja) 板体加熱装置
WO2019155808A1 (ja) 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
KR101489366B1 (ko) 진공 증발원
US10847401B2 (en) Wafer holding apparatus and baseplate structure
JP2006156916A (ja) 熱補償ヒーターモジュールを有するレーザー熱処理用チャック
KR102411024B1 (ko) 작업물 홀딩 및 가열 장치
JP5697441B2 (ja) 基板熱処理装置
CN112234000B (zh) 工件固持加热设备
CN110352482B (zh) 基板载置台及其电浆处理装置以及电浆处理方法
JP6826288B2 (ja) ワーク分割装置及びワーク分割方法
US20230132307A1 (en) Chuck For Processing Semiconductor Workpieces At High Temperatures
JP2010238788A (ja) 熱処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181022

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181022

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200929

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201021

R150 Certificate of patent or registration of utility model

Ref document number: 6783235

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250