JP6625599B2 - 高効率熱経路を有する積層半導体ダイアセンブリおよび関連システム - Google Patents

高効率熱経路を有する積層半導体ダイアセンブリおよび関連システム Download PDF

Info

Publication number
JP6625599B2
JP6625599B2 JP2017501357A JP2017501357A JP6625599B2 JP 6625599 B2 JP6625599 B2 JP 6625599B2 JP 2017501357 A JP2017501357 A JP 2017501357A JP 2017501357 A JP2017501357 A JP 2017501357A JP 6625599 B2 JP6625599 B2 JP 6625599B2
Authority
JP
Japan
Prior art keywords
semiconductor die
die
assembly according
die assembly
underfill material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017501357A
Other languages
English (en)
Other versions
JP2017525150A (ja
Inventor
ヴァダフカー,サミア,エス.
リ,シャオ
エス. ガンディ,ジャスプリート
エス. ガンディ,ジャスプリート
Original Assignee
マイクロン テクノロジー,インク.
マイクロン テクノロジー,インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー,インク., マイクロン テクノロジー,インク. filed Critical マイクロン テクノロジー,インク.
Publication of JP2017525150A publication Critical patent/JP2017525150A/ja
Application granted granted Critical
Publication of JP6625599B2 publication Critical patent/JP6625599B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/02Containers; Seals
    • H01L23/10Containers; Seals characterised by the material or arrangement of seals between parts, e.g. between cap and base of the container or between leads and walls of the container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/42Fillings or auxiliary members in containers or encapsulations selected or arranged to facilitate heating or cooling
    • H01L23/427Cooling by change of state, e.g. use of heat pipes
    • H01L23/4275Cooling by change of state, e.g. use of heat pipes by melting or evaporation of solids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/46Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements involving the transfer of heat by flowing fluids
    • H01L23/473Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements involving the transfer of heat by flowing fluids by flowing liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1751Function
    • H01L2224/17515Bump connectors having different functions
    • H01L2224/17519Bump connectors having different functions including bump connectors providing primarily thermal dissipation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/2612Auxiliary members for layer connectors, e.g. spacers
    • H01L2224/26122Auxiliary members for layer connectors, e.g. spacers being formed on the semiconductor or solid-state body to be connected
    • H01L2224/26145Flow barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/2612Auxiliary members for layer connectors, e.g. spacers
    • H01L2224/26152Auxiliary members for layer connectors, e.g. spacers being formed on an item to be connected not being a semiconductor or solid-state body
    • H01L2224/26175Flow barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73257Bump and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06506Wire or wire-like electrical connections between devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/157Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15787Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/1615Shape
    • H01L2924/16195Flat cap [not enclosing an internal cavity]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/162Disposition
    • H01L2924/16235Connecting to a semiconductor or solid-state bodies, i.e. cap-to-chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/162Disposition
    • H01L2924/16251Connecting to an item not being a semiconductor or solid-state body, e.g. cap-to-substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/162Disposition
    • H01L2924/1627Disposition stacked type assemblies, e.g. stacked multi-cavities

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)

Description

開示された実施形態は、半導体ダイアセンブリに関する。より詳細には、本技術は、高効率熱経路を有する積層半導体ダイアセンブリおよび関連するシステムと方法に関する。
メモリチップ、マイクロプロセッサチップおよびイメージャチップを含むパッケージ化された半導体ダイは、基板上に取り付けられ、プラスチック保護カバー内に収容された半導体ダイを、典型的に含む。ダイは、メモリセル、プロセッサ回路およびイメージャデバイスなどの機能的フィーチャだけでなく、この機能的フィーチャに電気的に接続されたボンドパッドも含む。ボンドパッドは、より高レベルの回路にダイを接続できるように、保護カバーの外部の端子に電気的に接続することが出来る。
市場圧力により、半導体製造者は、電子デバイスの空間的な制約内に収まるように、ダイパッケージのサイズを常に縮小せざるを得ず、また、動作パラメータに見合うように各パッケージの機能容量の増加を強いられてもいる。半導体パッケージによって覆われる表面面積(即ち、パッケージの“フットプリント”)を実質的に増加させることなく、パッケージの処理能力を増加させるためのアプローチの一つが、単一パッケージ内に複数の半導体ダイを相互の上に垂直方向に積層することである。このように垂直方向に積層されたパッケージ内のダイは、スルーシリコンビア(TSV)を用いて、隣接するダイのボンドパッドと、個々のダイのボンドパッドとを電気的に結合することによって相互接続されることが出来る。
垂直方向に積層されたダイパッケージに関連する課題は、個々のダイからの熱が常に生じており、積層されたダイによって生成する凝集した熱を放散することが困難であることである。このことが、個々のダイ、ダイ間の接合、パッケージ全体の動作温度を上昇させ、それによって、その最大動作温度(Tmax)を超える温度に積層されたダイを到達させることがある。この問題は、また、パッケージ内のダイの密度が増加すると、悪化する。さらに、デバイスがダイ積層内に様々な種類のダイを有する場合、そのデバイスの最大動作温度は、最も低い最大動作温度を有するダイに限定される。
本技術の実施形態による半導体ダイアセンブリを示す断面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す断面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す上面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す断面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す上面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す断面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す断面図である。 本技術の実施形態による半導体ダイアセンブリを示す断面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す断面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す上面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す断面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す断面図である。 本技術の実施形態による半導体ダイアセンブリを製造する方法を示す上面図である。 本技術の実施形態による半導体ダイアセンブリの断面図である。 本技術の実施形態による半導体ダイアセンブリの上面図である。 本技術の実施形態による半導体ダイアセンブリの断面図である。 本技術の実施形態による半導体ダイアセンブリの断面図である。 本技術の実施形態による半導体ダイアセンブリの断面図である。 本技術の実施形態による半導体ダイアセンブリの断面図である。 本技術の実施形態により構成される半導体ダイアセンブリを含むシステムの概略図である。
高効率熱経路を有する積層半導体ダイアセンブリと関連するシステムおよび方法の幾つかの実施形態の具体的な詳細事項が以下に記述される。“半導体ダイ”という語は、集積回路もしくはコンポーネント、データ記憶素子、処理コンポーネントおよび/または半導体基板上に製造された他のフィーチャを有するダイのことを一般的に指す。例えば、半導体ダイは、集積回路メモリおよび/または論理回路を含むことが出来る。半導体ダイおよび/または半導体ダイパッケージ内の他のフィーチャは、二つの構造が、例えば、伝導、対流および/または放射によって熱を通じてエネルギーを交換することが出来る場合、相互に“熱的に接触して”いるものとして言及されることが出来る。本技術は、さらなる実施形態を有することが出来ることと、本技術は、図1−図10を参照して以下に記述される実施形態の詳細事項のうちの幾つかがなくても実行できることも、当業者は、また、理解するであろう。
本明細書で用いられる場合、“垂直方向(vertical)”“側方向(lateral)”“上方(upper)”“下方(lower)”という語は、図面に示された方向から見て、半導体ダイアセンブリにおけるフィーチャの相対的な方向または位置を指すことが出来る。例えば、“上方(upper)”または“最上(uppermost)”とは、他のフィーチャよりもページの上部により近く配置されたフィーチャを指すことが出来る。しかしながら、これらの用語は、方向づけによっては、上部/底部(top/bottom)、上/下(over/under)、上/下(above/below)、上/下(up/down)および左/右(left/right)が入れ替えられることが出来るような、反転または傾斜された方向など他の方向を有する半導体デバイスを含む、と広く解釈されるべきである。
図1は、本技術の一実施形態による半導体ダイアセンブリ100(“アセンブリ100”)を示す断面図である。アセンブリ100は、パッケージ支持基板102と、パッケージ支持基板102に取り付けられた第一の半導体ダイ110と、第一のダイ110の中心領域または中心を外れた領域などの積層領域における積層122に配置された複数の第二の半導体ダイ120と、を含むことが出来る。第一のダイ110は、第二のダイ120の側方向に外側の周辺領域112と、接着剤133によって第一のダイ110の周辺領域112に取り付けられた第一部分131と、第二のダイ120の積層122を覆うか、包囲するか、または積層122の上にある第二部分132とを有する熱伝達構造(TTS)130と、をさらに含むことが出来る。接着剤133は、例えば、熱界面材料(“TIM”)または他の適切な接着剤とすることが出来る。例えば、TIMおよび他の接着剤は、シリコンベースのグリース、ゲルまたは、相変化材料と同様に、導電性材料(例えば、カーボンナノチューブ、はんだ材料、ダイアモンド状炭素(DLC)など)をドープされた接着剤を含むことが出来る。図1に示された実施形態においては、第一部分131は、少なくとも第一のダイ110の周辺領域112から、第二のダイ120の積層122の中間の高さまで延びる、ダム(dam)部材などのベースである。第二部分132は、接着剤133によって第一部分131および最上部の第二のダイ120に取り付けられたカバーである。第一部分131および第二部分132は、金属(例えば、銅もしくはアルミニウム)または他の高い熱伝導性を有する材料から製造されたケーシングをともに画定することが出来、第一部分131および第二部分132は、第二のダイ120の積層122が配置される空洞138をともに画定することが出来る。
アセンブリ100は、第二のダイ120の各々の間と、第一のダイ110および底部の第二のダイ120の間とにアンダーフィル材料160をさらに含む。アンダーフィル材料160は、第一のダイ110に近接した領域において、第二のダイ120の積層122から外側に延びるフィレット(fillet)162を形成することが出来る。アセンブリ100は、第一のダイ110および第二のダイ120の積層122からの熱の熱放散を高めることが期待される。例えば、TTS130は、第一のダイ110の周辺領域112の大部分から直接的に第一経路に沿って、かつ、第二のダイ120を通る第二経路に沿って、熱を効率的に伝達するための高い熱伝導率を有する材料から製造することが出来る。TTS130の第一部分131は、第一のダイ110の周辺領域112の利用可能な領域の大部分に取り付けられる。なぜなら、アンダーフィル材料160のフィレット162が周辺領域112のかなりの割合を覆うことを妨げるようなダムを第一部分131が提供するからである。これは、第一の熱経路の効率を高める。なぜなら、第一部分131が第一のダイ110の周辺領域112に取り付けられる前にアンダーフィル材料が堆積されるデバイスと比較すると、周辺領域112のうちのより大きな表面面積が、TTS130の第一部分131によって覆われ得るからである。
図1に示されるアセンブリ100の幾つかの実施形態は、このように、アセンブリ100内の個々のダイ110、120の動作温度を低下させるように、熱的特性を高めることが出来、個々のダイ110、120が、指定された最大温度(Tmax)未満のままであるようにする。これは、ハイブリッドメモリキューブ(HMC)としてアセンブリ100が配置されるときに非常に有用と成り得る。なぜなら、第一のダイ110は、一般的により大きい下層の論理ダイであって、第二のダイ120は、一般的にメモリダイであり、論理ダイは、メモリダイよりも非常に高い電力レベルで(例えば、0.628Wに対して5.24W)典型的に動作するからである。論理ダイHMC構造は、第一のダイ110の周辺領域112で相当量の熱を一般的に集中させる。論理ダイは、また、周辺領域でより高い電力密度を有することがあり、その結果、周辺領域におけるさらなる熱の集中とより高い温度とを生じる。このように、高い熱導伝性を有する、TTS130の第一部分131に対して、第一のダイ110の周辺領域112の大部分を結合することによって、熱は、第一のダイの周辺領域112から効率的に除去されることが出来る。
図2A−図2Fは、本技術の実施形態によるアセンブリ100を製造する方法の態様を示す。図2Aは、アセンブリ100を製造する段階の断面図であり、図2Bは、アセンブリ100を製造する段階の上面図である。図2Aを参照すると、パッケージ支持基板102は、より高レベルのパッケージングの外部電気コンポーネント(図示せず)に、第一のダイ110および第二のダイ120を接続するように構成される。例えば、パッケージ支持基板102は、半導体コンポーネント(例えば、ドープされたシリコンウェーハもしくはヒ化ガリウムウェーハ)、非導電性コンポーネント(例えば、酸化アルミニウム(Al)、窒化アルミニウム(AlN)などの様々なセラミック基板)、および/または導電性部分(例えば、相互接続回路、TSVなど)を含むインターポーザまたはプリント回路基板とすることが出来る。図2Aに示された実施形態においては、パッケージ支持基板102は、第一の複数の電気コネクタ104aを介してパッケージ支持基板102の第一側面103aにおいて第一のダイ110に対して電気的に結合され、第二の複数の電気コネクタ104b(“電気コネクタ104”と集合的に称される)を介してパッケージ支持基板102の第二側面103bにおいて外部回路(図示せず)に対して電気的に結合される。電気コネクタ104は、はんだボール、導電性バンプおよびピラー、導電性エポキシ、および/または他の適切な導電性素子とすることが出来る。様々な実施形態においては、パッケージ支持基板102は、第一の半導体ダイ110の裏面における熱放散を高めるために、比較的高い熱伝導率を有する材料で製造されることが出来る。
図2Aおよび図2Bに示されるように、第一のダイ110は、積層された第二のダイ120よりも大きいフットプリントを有することが出来る。したがって、第一のダイ110は、取り付け領域111(図2A)または積層領域を含み、そこで、第二のダイ120が第一のダイ110に取り付けられ、周辺領域112は、取り付け領域111の少なくとも一側面を超えて側方向に外側に延びる。周辺領域112は、したがって、第二のダイ120の外側に(例えば、第二のダイ120の長さおよび/または幅を超えて)ある。
第一のダイ110、第二のダイ120は、ダイナミックランダムアクセスメモリ(DRAM)、スタティックランダムアクセスメモリ(SRAM)、フラッシュメモリ、他の形式の集積回路メモリ、処理回路、イメージングコンポーネントおよび/または他の半導体フィーチャなどの、様々な種類の半導体コンポーネントおよび機能的フィーチャを含むことが出来る。様々な実施形態においては、例えば、アセンブリ100は、HMCとして構成されることが出来、そこでは、積層された第二のダイ120は、データストレージを提供するDRAMダイまたは他のメモリダイであって、第一のダイ110は、HMC内のメモリ制御(例えば、DRAM制御)を提供する高速論理ダイである。他の実施形態においては、第一のダイ110および第二のダイ120は、他の半導体コンポーネントを含んでもよく、および/または、積層122における個々の第二のダイ120の半導体コンポーネントは異なっていてもよい。
第一のダイ110および第二のダイ120は、長方形、円形および/または他の適切な形状とすることが出来、様々な異なる寸法を有することが出来る。例えば、個々の第二のダイ120は、約10−11mm(例えば、10.7mm)の長さLと、約8−9mm(例えば、8.6mm、8.7mm)の幅とを各々有することが出来る。第一のダイ110は、約12−13mm(例えば、12.67mm)の長さLと、約8−9mm(例えば、8.5mm、8.6mmなど)の幅とを有することが出来る。他の実施形態においては、第一のダイ110および第二のダイ120は、他の適切な寸法を有することが出来、および/または個々の第二のダイ120は、互いに異なる寸法を有することが出来る。
(“ポーチ”または“シェルフ”として当業者に既知の)第一のダイ110の周辺領域112は、第一のダイ110と第二のダイ120との相対的寸法と、第一のダイ110の前方を向いている表面114上の積層122の位置と、によって画定されることが出来る。図2Aおよび図2Bに示された実施形態においては、積層122は、第一のダイ110の長さLに対して中心に配置され、周辺領域112が積層122の二つの対向面を超えて側方向に延びるようにする。例えば、第一のダイ110の長さLが、第二のダイ120の長さLよりも約1.0mm長い場合、周辺領域112は、中心に配置された第二のダイ120のどちらかの側面を約0.5mm超えて延びるだろう。積層122は、また、第一のダイ110の幅に対しても中心に配置され、第一のダイ110の幅と長さの双方が中心に配置された積層122の幅と長さよりも大きい実施形態においては、周辺領域112は、第二のダイ120の全周囲に延びることが出来る。他の実施形態においては、積層122は、第一のダイ110の前方を向いている表面114(図2A)に対してオフセットされることが出来、および/または第一のダイ110の周辺領域112は、積層122の全周囲よりも短く、周囲に延びることが出来る。さらなる実施形態においては、第一のダイ110および第二のダイ120は、円形とすることが出来るので、第一のダイ110および第二のダイ120の相対的な直径が、周辺領域112を画定する。
図2Aに示されるように、第二のダイ120は、積層122において相互に電気的に結合されることが出来、隣接するダイ110とダイ120との間に配置された複数の導電性素子124によって、下層の第一のダイ110に電気的に結合されることが出来る。図1に示された積層122は、相互に電気的に結合された8つの第二のダイ120を含むが、他の実施形態においては、積層122は、(例えば、2−4つのダイ、または少なくとも9つのダイなど)8つより多いダイまたは8つ未満のダイを含むことが出来る。導電性素子124は、ピラー、円柱、スタッド、バンプなどの様々な適切な構造を有することが出来、銅、ニッケル、はんだ(例えば、SnAgベースのはんだ)、導体充填エポキシおよび/または他の導電性材料で製造することが出来る。選択された実施形態においては、例えば、導電性素子124は、銅のピラーとすることが出来るが、他の実施形態においては、導電性素子124は、バンプ・オン・窒化物構造などのより複雑な構造を含むことが出来る。
図2Aにさらに示されるように、個々の第二のダイ120は、対応する導電性素子124と片側または両側で整列した複数のTSV126を各々含むことが出来、第二のダイ120の対向する側面における電気的接続を提供することが出来る。各TSV126は、個々の第二のダイ120を完全に通り抜ける導電性材料(例えば、銅)と、導電性材料を包囲する電気的に絶縁性を有する材料とを含むことが出来、第二のダイ120の残りからTSV126を電気的に分離することが出来る。図1には示されていないが、第一のダイ110は、また、より高いレベルの回路に第一のダイ110を電気的に結合するために、複数のTSV126も含むことが出来る。電気的接続を超えて、TSV126および導電性素子124は、熱コンジットを提供し、そこを通じて、(例えば、第一の熱経路を通じて)第一のダイ110および第二のダイ120から熱を伝達させることが出来る。幾つかの実施形態においては、導電性素子124および/またはTSV126の寸法は、積層122を垂直方向に通る熱伝達を促進するために増加することができる。例えば、個々の導電性素子124は、ダイ110、120を通る熱経路を改良するために、約15−30μmの直径または他の適切な寸法を各々有することができる。他の実施形態においては、第二のダイ120は、積層122を通る熱経路も提供することが出来る他の種類の電気コネクタ(例えば、ワイヤボンド)を用いて、相互に、かつ第一のダイ110に電気的に結合されることが出来る。
様々な実施形態においては、アセンブリ100は、また、導電性素子124の間に介在して配置された複数の熱伝導素子128(破線で示される)も含むことが出来る。個々の熱伝導素子128は、導電性素子124(例えば、銅ピラー)と少なくともほぼ類似の構造および組成とすることが出来る。しかしながら、熱伝導素子128は、TSV126またはダイ110、120の他の電気的にアクティブなコンポーネントに電気的に結合されていないため、第二のダイ120同士の間の電気的接続を提供しない。その代わりに、熱伝導素子128は、積層122を通る全体の熱伝導を増加させる、電気的に絶縁された“非知能型(dumb)素子”であり、第一の熱経路に沿った熱伝達を促進する。例えば、アセンブリ100がHMCとして構成される実施形態においては、HMCの動作温度を数度(例えば、約6−7℃)下降させるために、導電性素子124の間への熱伝導素子128の追加が示された。
図2Cは、第一のダイ110およびパッケージ支持基板102にTTS130(図1)の第一部分131が取り付けられた後の、アセンブリ100を製造するための方法の、その後の段階を示す断面図であり、図2Dは、当該段階を示す上面図である。図2Cを参照すると、第一部分131のこの実施形態は、第一のダイ110の少なくとも一部の周囲に延びるように構成された基盤142(例えば、基礎)と、第一のダイ110の周辺領域112の上に配置されるように構成されたショルダ144とを有する。第一部分131は、第二のダイ120の積層122を基準とする高さ(H)まで延びる側壁146をさらに含むことが出来る。側壁146は、また、間隙(G)の分だけ第二のダイ120の積層122から離隔され、ショルダ144が、周辺領域112のかなりの割合(例えば、カバー領域(C))を覆うようにする。基盤142は、接着剤148によってパッケージ支持基板102に取り付けられることが出来、ショルダ144は、熱伝導性接着剤133によって第一のダイ110の周辺領域112に取り付けられることが出来る。接着剤133および148は、同一の接着剤とすることが出来るか、または互いに異なるものとすることが出来る。接着剤133は、例えば、TIMとすることが出来る。図2Dに示されるように、第一部分131は、第一のダイ110と第二のダイ120とを包囲するリングとすることが出来る。
図2Eは、第二のダイ120同士の間、および、第一のダイ110と底部の第二のダイ120との間にアンダーフィル材料160が配置された後の、アセンブリ100を製造する方法の別の段階を示す断面図である。アンダーフィル材料160は、典型的には、第二のダイ120、導電性素子124および熱伝導素子128の間に介在する空間を充填する流動性材料である。TTS130の第一部分131は、第一のダイ110の周辺領域112をフィレット162が覆う程度を抑制するダム部材を提供する。例えば、アンダーフィル材料160を堆積した後で周辺領域112に熱伝導部材を取り付ける他のデバイスの場合のように、フィレット162が周辺領域112の上に側方向に広がるのではなく、フィレット162は、側壁146の一部に沿って上方に延びる。アンダーフィル材料160は、非導電性エポキシペースト(例えば、日本の新潟のNamics Corporationによって製造されたXS8448−171)、キャピラリアンダーフィル、非導電性膜、モールドアンダーフィルとすることが出来、および/または、他の適切な電気的に絶縁性を有する材料を含むことが出来る。アンダーフィル材料160は、代替的には、ドイツのデュッセルドルフのHenkelによって製造されたFP4585などの誘電性アンダーフィルとすることが出来る。幾つかの実施形態においては、アンダーフィル材料160は、積層122を通る熱放散を促進するために、その熱伝導性に基づいて選択されることが出来る。アンダーフィル材料160の体積は、介在する空間を適切に充填するように選択され、フィレット162を形成するために、第一部分131の側壁146と、第二のダイ120の積層122との間の間隙(G)に、アンダーフィル材料160の過剰部分が行くようにする。高さ(H)、間隙(G)およびカバー面積(C)は、アンダーフィル材料160のフィレット162を収容するための、側壁146と第二のダイ120の積層122との間の十分な空間も備えながら、周辺領域112のカバー面積(C)が大きくなるように選択される。
図2Fは、TTS130の第二部分132が第一部分131に取り付けられて、TTS130を完成させた後の図1のアセンブリ100を示す断面図である。第二部分132は、接着剤133によって最上部の第二のダイ120に取り付けられた上部152と、接着剤133によって第一部分131に取り付けられた底部154と、上部152から張り出している側壁156と、を有することが出来る。第一部分131および第二部分132は、第二のダイ120の積層122を包含する空洞138をともに画定する。図2Fに示された実施形態のTTS130は、このように、第一のダイ110および第二のダイ120によって生成される熱を除去するために、熱伝達を促進させる熱伝導ケーシングである。TTS130の第一部分131と第二部分132の各々は、銅またはアルミニウムなどの金属で製造することが出来、TTS130が金属ベース部分と金属カバーとを有するようにする。
図3は、本技術によるアセンブリ100の別の実施形態の断面図である。この実施形態においては、TTS130の第一部分131は、最上部の第二のダイ120の上部と少なくともほぼ同一の高さまで伸びる高さ(H)を有する側壁146を有し、TTS130の第二部分132は、側壁146の上部に取り付けられた底部154を有する。第二部分132は、このように、上部152から張り出している別個の側壁を有しない。第二部分132は、接着剤133によって第一部分131に取り付けられることが出来る。
図4Aは、本技術による製造プロセスのある段階における半導体ダイアセンブリ400の断面側面図であり、図4Bは上面図である。アセンブリ400の幾つかの特徴は、アセンブリ100を参照して上述された特徴と類似しているため、類似の参照番号は、図1−図4Bで類似の要素を指す。図4Aは、内部ケーシング430が第一のダイ110に取り付けられた後のアセンブリ400を示す。内部ケーシング430は、第一の内部表面433を有する第一の支持物431と、第二の内部表面434を有する第二の支持物432と、第一の支持物431と第二の支持物432との間に延びる上部435とを含むことが出来る。内部ケーシング430は、第一の支持物431と第二の支持物432とを有する側面上で閉じているが、他の二つの側面上では開いている空洞436を有する。第一の支持物431および第二の支持物432は、接着剤133によって第一のダイ110の周辺領域112に取り付けられることが出来る。内部ケーシング430の上部435は、また、接着剤133によって第二のダイ120の上部に取り付けられることが出来る。図4Bに示されるように、内部ケーシング430は、第一のダイ110のフットプリントと類似のフットプリントを有することが出来る。
図4Cは、第二のダイ120同士の間、および、第一のダイ110と底部の第二のダイ120との間にアンダーフィル材料160が堆積された後の、製造のその後の段階におけるアセンブリ400の断面側面図である。図4Bを再度参照すると、アンダーフィル材料は、矢印Fによって示されるように、内部ケーシング430の開放面を通じてアンダーフィル材料を流すことによって、介在する空間内に分散することが出来る。アンダーフィル材料の流れを促進するために、アセンブリ400は、空洞436内で介在する空間を通って、重力がアンダーフィル材料160を引っ張るような角度で傾斜することが出来る。
図4Dは、製造のその後の段階におけるアセンブリ400の断面側面図であり、図4Eは、その上面図である。図4Dを参照すると、アセンブリ400は、空洞448をともに画定する内部表面444と上部446とを有する側壁442を有する外部ケーシング440をさらに含む。図4Eに示されるように、側壁442の内部表面444は、4つの側面を有し、第一のダイ110、第二のダイ120の積層および内部ケーシング430を空洞448が包囲するようにする。図4Dを参照すると、外部ケーシング440は、接着剤148によってパッケージ支持基板102に対して取り付けられることが出来、接着剤133によって内部ケーシング430の上部435に取り付けられることが出来る。この実施形態は、上記で説明されたように第一のダイ110の周辺領域112に対し、かつ、第二のダイ120の側面に対して、良好な熱界面を提供する。なぜなら、アンダーフィル材料160は、ケーシング内の隙間よりも高い熱伝導率を有することが出来るためである。
図5Aは、本技術の別の実施形態による半導体デバイスアセンブリ500(“アセンブリ500”)の断面図であり、図5Bは、その上面図である。類似の参照番号は、図1−図5Bを通して類似の要素を指す。アセンブリ500は、上部532と、上部532と一体化して形成された側壁534と、上部532および側壁534によって画定される空洞538と、を有するTTS530を含む。TTS530は、銅またはアルミニウムなどの高い熱伝導率を有する材料から形成される一体成形のケーシングである。側壁534は、内部表面535を有することが出来る。一実施形態においては、図5Bに示されるように、内部表面535は、第二のダイ120の積層122から離隔されるように構成された4つの側面を有することが出来、第二のダイ120と側壁534の内部表面535との間に小さな間隙が存在するようにする。図5Aを再度参照すると、側壁534は、接着剤148によってパッケージ支持基板102に取り付けられた基盤536と、接着剤133によって第一のダイ110の周辺領域112に取り付けられたショルダ537とをさらに含むことが出来る。基盤536は、第一のダイ110の周辺領域112から側方向に外側に離隔された内部表面539を有する基礎とすることが出来る。TTS530は、注入口540aと排出口540bとをさらに含むことが出来る。注入口540aは、側壁534の下方部分を通って延びる第一の通路とすることが出来、排出口540bは、側壁534の上方部分を通って延びる第二の通路とすることが出来る。図5Bを参照すると、注入口540aおよび排出口540bは、相互に側方向にオフセットすることが出来るか、または、他の実施形態においては、空洞538にわたって相互に整列することが出来る。他の実施形態においては、注入口540aおよび排出口540bは、ほぼ同一の高さで、側壁を通って延びることが出来る。さらに他の実施形態においては、注入口540aは、排出口540bよりも側壁534に沿って相対的に高く配置されることが出来る。
アンダーフィル材料160は、注入口540aを介して空洞538に注入され(I)、第二のダイ120同士の間、および、第一のダイと底部の第二のダイ120との間に介在する空間をアンダーフィル材料160が充填するようにする。一実施形態においては、アンダーフィル材料160が排出口540bの外へ流れる(O)まで、アンダーフィル材料160は、空洞538に注入されることが出来る。注入口540aおよび排出口540bは、アンダーフィル材料160でこれらの通路を充填することによって封止されることが出来、または、他の実施形態においては、注入口540aおよび排出口540bの外部開口は、TTS530内の空洞538を封止するために、別の材料でキャップされることが出来る。結果として、TTS530は、アンダーフィル材料160を効率的に含むダム部材を提供し、さらに、側壁534のショルダ537によって第一のダイ110の周辺領域112の大きな表面積を覆う。さらに、アンダーフィル材料160は、また、第二のダイ120からの側方向への熱伝達も促進するために、第二のダイ120の側面と接触する。
図6は、本技術の別の実施形態による半導体ダイアセンブリ600(“アセンブリ600”)の断面図である。類似の参照番号は、図1−図6において類似の要素を指す。アセンブリ600は、上部632と、内部表面636を有する側壁634とを有するTTS630を含むことが出来る。上部632および側壁634は、第一のダイ110および第二のダイ120の積層122を受けるように構成された空洞638を画定する。上部632は、接着剤133によって上方の第二のダイ120に取り付けられることが出来、側壁634は、接着剤148によってパッケージ支持基板102に取り付けられることが出来る。図6に示される側壁634の実施形態は、第一のダイ110の周辺領域112に接触しない。他の実施形態においては、図5Aに示された側壁534のショルダ537および基盤536によって示されるように、側壁634は、第一のダイ110の周辺領域112に取り付けられたショルダと、パッケージ支持基板102に取り付けられた基盤とを有することが出来る。TTS630は、注入口640aと排出口640bとをさらに含むことが出来る。示された実施形態においては、注入口640aおよび排出口640bは、TTS630の上部632を通って延びる通路である。他の実施形態においては、注入口640aおよび/または排出口640bは、側壁634を通る通路とすることが出来る。さらに、図6に示されたTTS630の実施形態は、上部632が側壁634と一体化して形成される一体成形のケーシングである。他の実施形態においては、上部632は、図3について示され、記述されたように、接着剤によって側壁634に取り付けられた別個のコンポーネントとすることが出来る。
アセンブリ600は、空洞638内に熱伝導性誘電液体670をさらに含む。誘電液体670は、注入口640aを介して、空洞638に注入される(I)ことが出来る。排出口640bは、このようにして、誘電液体670が注入されると、空気または他の物質がそこを通じて空洞638から出る(O)ことが出来るベントを提供することが出来る。誘電液体670は、液体として注入されて、空洞638内に液体状態のままであることが出来るか、または液体として注入されて、部分的にゲル状の物質に硬化されることが出来るか、もしくは完全に固体に硬化されることが出来る。適切な熱伝導性誘電液体670は、例えば、Dow Chemical Companyによって製造されたパラフィン流体およびDowtherm(商標)を含む。適切なDowtherm(商標)熱伝達流体は、Dowtherm A(商標)、Dowtherm G(商標)、Dowtherm Q(商標)、Dowtherm T(商標)を含み、その全ては、Dow Chemical Companyによって製造される。空洞内での気体の生成を回避するために、誘電液体670は、アセンブリ600の最大動作温度よりも高い沸点を有するべきである。幾つかの実施形態においては、誘電液体670は、周囲温度で固体または半固体材料に硬化するように選択されることが出来るが、最大動作温度または最大動作温度近くで液体状態への相変化が起こり、最大動作温度に達したときに、熱伝達を潜在的に高め、定常動作温度を提供することが出来る。
誘電液体670は、第二のダイ120同士の間、および、第一のダイ110と底部の第二のダイ120との間に介在する空間を充填することが出来、別個のアンダーフィル材料が必ずしも必要とされないようにする。他の実施形態においては、アンダーフィル材料は、誘電液体670で空洞638を充填する前に、第二のダイ120同士の間、および、第一のダイ110と底部の第二のダイ120との間に堆積されることが出来る。アンダーフィル材料は、誘電液体670が液体状態のままであるときにダイ110、120に対する構造的な支持を提供することが一般的に望まれる。しかしながら、アンダーフィル材料は、誘電液体670が十分に固体状態に硬化するときには除去されることが出来る。
動作においては、誘電液体670は、第一のダイ110の周辺領域112のみならず、第二のダイ120にも接触し、TTS630に熱を効率的に伝達する。このことにより、アンダーフィル材料を用いる、および/または、ケーシングとダイ110、120との間に間隙を有するデバイスと比較すると、高い熱伝導率を有する材料と、ダイ110、120との間で、顕著により大きい表面接触が生じる。幾つかの実施形態においては、空洞638は、TTS630内の間隙を防ぐために完全に充填され、注入口640aおよび排出口640bは、空洞638を封止するためにキャップされる。アセンブリ600の実施形態は、第一のダイ110および第二のダイ120からのより効率的な熱伝達を提供すると期待される。
図7は、本技術によるアセンブリ600の別の実施形態の断面図である。この実施形態においては、注入口640aは、側壁634の下方部分を通って延びる通路であり、排出口640bは、上部632を通って延びる通路である。この実施形態は、空洞638を下から上へと充填し、それによって、空洞638内のエアポケットを形成する可能性が軽減されると期待される。
図8は、本技術によるアセンブリ600の別の実施形態を示す断面図である。この実施形態においては、TTS630は、接着剤133によって相互に取り付けられた上部コンポーネント632と別個の側壁634とを有する複数ピースのケーシングである。側壁634は、接着剤148によってパッケージ支持基板102に取り付けられることが出来、その後、側壁634の内部表面636と、ダイ110、120との間の空間は、誘電液体670で充填されることが出来る。上部632は、その後、接着剤133によって側壁634および上方の第二のダイ120に取り付けられる。多くの実施形態においては、空洞638は、接着剤133の厚さによって生じる小さな間隙を有するだろう。空洞638内で膨張性ガスを有することを回避するために、TTS630の上部632は、真空内で側壁634に取り付けられることが出来る。
図9は、本技術の別の実施形態による半導体ダイアセンブリ900(“アセンブリ900”)の断面図である。図9に示される実施形態は、図2Fに示されたアセンブリ100の実施形態と類似しているため、類似の参照番号は、図1−図9で類似の要素を指す。アセンブリ900においては、TTS130は、TTS130の第二部分132において注入口910aおよび排出口910bをさらに含むことが出来る。注入口910aおよび排出口910bは、TTS130内の空洞138に飛び出ている通路である。アセンブリ900は、空洞138内でアンダーフィル材料160と誘電液体670の双方をさらに含む。アンダーフィル材料160は、図2Eを参照して上述されたように堆積されることが出来る。誘電液体670は、注入口910aを介して空洞に注入されることが出来、空気または過剰な誘電液体670は、排出口910bを介して空洞138の外へ出て行くことが出来る。空洞138が誘電液体670で充填された後、注入口910aおよび排出口910bは、外部環境から空洞138を封止するために、キャップされるか、または封止されることが出来る。
図1−図9を参照して上述された積層半導体ダイアセンブリのうちの任意の一つは、多数のより大きい、および/またはより複雑なシステムのいずれかに組み込まれることが出来、その代表例が、図10に概略的に示されるシステム1000である。システム1000は、半導体ダイアセンブリ1010、電源1020、ドライバ1030、プロセッサ1040、および/または他のサブシステムもしくはコンポーネント1050を含むことが出来る。半導体ダイアセンブリ1010は、上述された積層半導体ダイアセンブリのフィーチャとほぼ類似のフィーチャを含むことが出来るので、第一のダイ110の周辺領域112が良好に覆われた状態で、熱放散を促進する複数の熱経路を含むことが出来る。結果として得られるシステム1000は、メモリストレージ、データ処理および/または他の適切な機能などの様々な機能のいずれかを実施することが出来る。したがって、代表的なシステム1000は、ハンドヘルドデバイス(例えば、携帯電話、タブレット、デジタルリーダおよびデジタル音声プレイヤー)、コンピュータ、家電製品を含むことが出来るが、そのいずれにも限定はされない。システム1000のコンポーネントは、単一のユニット内に収容されてもよいし、または複数の相互接続されたユニットにわたって(例えば、通信ネットワークを介して)分散されてもよい。システム1000のコンポーネントは、また、遠隔デバイス、および、様々なコンピュータ可読媒体のいずれかを含むことも出来る。
前述から、本技術の具体的な実施形態が例示を目的として本明細書に記述されてきたが、本開示から逸脱することなく様々な改変が行われてもよいことが理解されるだろう。例えば、半導体ダイアセンブリの実施形態のうちの多くは、HMCに関連して記述されるが、他の実施形態においては、半導体ダイアセンブリは、他のメモリデバイスとして、または他の種類の積層ダイアセンブリとして構成されることが出来る。さらに、図1−図9に示された半導体ダイアセンブリは、第二の半導体ダイ上に積層されて配置された複数の第一の半導体ダイを含む。しかしながら、他の実施形態においては、半導体ダイアセンブリは、一つ以上の第二の半導体ダイ上に積層された一つの第一の半導体ダイを含むことが出来る。特定の実施形態の文脈で記述された新規技術のある態様は、また、他の実施形態においては、組み合わせられてもよいし、または排除されてもよい。さらに、新規技術のある実施形態に関連する利点は、その実施形態の文脈で記述されてきたが、他の実施形態もまた、このような利点を示すことが出来、全ての実施形態が、本技術の範囲内に含まれるように、このような利点を必ずしも示す必要はない。したがって、本開示とそれに関連する技術は、本明細書に明示も記述もされていない他の実施形態を包含することが出来る。

Claims (20)

  1. パッケージ支持基板と、
    前記パッケージ支持基板に電気的に取り付けられた第一の半導体ダイであって、積層部位と、前記積層部位から側方向に延びる周辺領域とを有する、第一の半導体ダイと、
    相互に積層された複数の第二の半導体ダイであって、底部の第二の半導体ダイは、前記第一の半導体ダイの前記積層部位に取り付けられる、複数の第二の半導体ダイと、
    前記第一の半導体ダイの前記周辺領域に取り付けられる熱伝達構造であって、前記複数の第二の半導体ダイが配置される空洞と、注入口とを有する、熱伝達構造と、
    前記空洞内のアンダーフィル材料であって、前記アンダーフィル材料は、前記複数の第二の半導体ダイと前記熱伝達構造との間にフィレットを有し、前記フィレットは、前記フィレットの少なくとも一部が前記熱伝達構造に沿って上方に延びるように、前記注入口を介して前記空洞内に前記アンダーフィル材料を注入することによって、生じる、アンダーフィル材料と、
    を含む、
    半導体ダイアセンブリ。
  2. 前記熱伝達構造は、前記第一の半導体ダイの前記周辺領域に取り付けられた側壁と、前記側壁の上にあり、最上部の第二の半導体ダイに取り付けられた上部と、を含み、前記側壁および前記上部は、相互に一体化して形成される、
    請求項1に記載の半導体ダイアセンブリ。
  3. 前記熱伝達構造は、前記第一の半導体ダイの前記周辺領域に取り付けられた側壁と、前記側壁の上にあり、最上部の第二の半導体ダイに取り付けられた上部と、を含み、前記側壁および前記上部は、接着剤で相互に取り付けられた別個のコンポーネントである、
    請求項に記載の半導体ダイアセンブリ。
  4. 前記熱伝達構造は、側壁と、前記側壁の上にある上部と、を含み、
    前記注入口は、第一の通路を含み、
    前記複数の第二の半導体ダイは、前記底部の第二の半導体ダイの上に最上部の第二の
    導体ダイを含み、
    前記アンダーフィル材料は、前記最上部の第二の半導体ダイに少なくとも近接したレベルまで、前記熱伝達構造に沿って上方に延び、
    前記半導体ダイアセンブリは、第二の通路を含む排出口をさらに含む、
    請求項1に記載の半導体ダイアセンブリ。
  5. 前記第一の通路は、前記側壁の下方部分を通って延び、前記第二の通路は、前記側壁の上方部分を通って延びる、
    請求項4に記載の半導体ダイアセンブリ。
  6. 前記第一の通路および前記第二の通路は、ほぼ同一の高さで前記側壁を通って延びる、請求項4に記載の半導体ダイアセンブリ。
  7. 前記第一の通路は、前記側壁を通って延び、前記第二の通路は前記上部を通って延びる、
    請求項4に記載の半導体ダイアセンブリ。
  8. 前記第一の通路は、前記側壁の下方部分を通って延びる、
    請求項7に記載の半導体ダイアセンブリ。
  9. 前記第一の通路は、前記上部を通って延び、前記第二の通路は前記上部を通って延びる、
    請求項4に記載の半導体ダイアセンブリ。
  10. 前記注入口を介して前記空洞内に注入される誘電液体をさらに含み、前記誘電液体は、前記アンダーフィル材料よりも高い熱伝導率を有する、
    請求項1に記載の半導体ダイアセンブリ。
  11. パッケージ支持基板と、
    前記パッケージ支持基板に取り付けられた第一の半導体ダイであって、周辺領域と積層領域とを有する、第一の半導体ダイと、
    前記第一の半導体ダイの前記積層領域に取り付けられた下方の第二の半導体ダイと、前記下方の半導体ダイの上に積層された上方の第二の半導体ダイと、を含む複数の第二の半導体ダイと、
    前記第一の半導体ダイの前記周辺領域に取り付けられており側壁を有するベース部分と、前記上方の第二の半導体ダイに取り付けられた上部であって、前記ベース部分と該上部とが、前記複数の第二の半導体ダイが配置される空洞を画定する該上部と、前記側壁の下部を通って延びる第一の通路を有する注入口と、前記側壁の上部を通って延びる第二の通路を有する排出口と、を有する熱伝導性ケーシングと、
    前記ベース部分と、少なくとも前記下方の第二の半導体ダイと、の間の前記ケーシング内のアンダーフィル材料であって、前記注入口を介して前記空洞内に注入される、アンダーフィル材料と、
    を含む、
    半導体ダイアセンブリ。
  12. 前記ベース部分および前記上部は、相互に一体化して形成される、
    請求項11に記載の半導体ダイアセンブリ。
  13. 前記ベース部分および前記上部は別個のコンポーネントであり、前記上部は、接着剤によって前記ベース部分に取り付けられる、
    請求項11に記載の半導体ダイアセンブリ。
  14. 前記空洞内に誘電液体をさらに含む、
    請求項11に記載の半導体ダイアセンブリ。
  15. 前記アンダーフィル材料は、前記注入口の少なくとも一部を少なくとも部分的に覆う、請求項11に記載の半導体ダイアセンブリ。
  16. 前記アンダーフィル材料は、前記ベース部分の前記側壁に沿って上方に、ある距離延びる、
    請求項11に記載の半導体ダイアセンブリ。
  17. 前記誘電液体は、前記アンダーフィル材料よりも高い熱伝導率を有する、
    請求項14に記載の半導体ダイアセンブリ。
  18. 前記アンダーフィル材料は、前記上方の第二の半導体ダイに少なくとも近接した高さまで、前記側壁に沿って上方に延びる、
    請求項16に記載の半導体ダイアセンブリ。
  19. 前記アンダーフィル材料は、前記アンダーフィル材料が前記排出口の外へ流れるまで、前記注入口を介して前記空洞内に注入される、
    請求項11に記載の半導体ダイアセンブリ。
  20. 前記注入口と前記排出口とは、相互に側方向にオフセットしている、
    請求項11に記載の半導体ダイアセンブリ。
JP2017501357A 2014-07-14 2015-06-25 高効率熱経路を有する積層半導体ダイアセンブリおよび関連システム Active JP6625599B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/330,900 US9337119B2 (en) 2014-07-14 2014-07-14 Stacked semiconductor die assemblies with high efficiency thermal paths and associated systems
US14/330,900 2014-07-14
PCT/US2015/037630 WO2016010703A1 (en) 2014-07-14 2015-06-25 Stacked semiconductor die assemblies with high efficiency thermal paths and associated systems

Publications (2)

Publication Number Publication Date
JP2017525150A JP2017525150A (ja) 2017-08-31
JP6625599B2 true JP6625599B2 (ja) 2019-12-25

Family

ID=55068137

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017501357A Active JP6625599B2 (ja) 2014-07-14 2015-06-25 高効率熱経路を有する積層半導体ダイアセンブリおよび関連システム

Country Status (7)

Country Link
US (1) US9337119B2 (ja)
EP (1) EP3170201B1 (ja)
JP (1) JP6625599B2 (ja)
KR (1) KR101996154B1 (ja)
CN (1) CN106489202B (ja)
TW (1) TWI548056B (ja)
WO (1) WO2016010703A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9691746B2 (en) 2014-07-14 2017-06-27 Micron Technology, Inc. Methods of manufacturing stacked semiconductor die assemblies with high efficiency thermal paths
US9397078B1 (en) * 2015-03-02 2016-07-19 Micron Technology, Inc. Semiconductor device assembly with underfill containment cavity
TWM512730U (zh) * 2015-08-20 2015-11-21 Cooler Master Co Ltd 水冷式散熱裝置
KR102579876B1 (ko) * 2016-02-22 2023-09-18 삼성전자주식회사 반도체 패키지
US10008395B2 (en) * 2016-10-19 2018-06-26 Micron Technology, Inc. Stacked semiconductor die assemblies with high efficiency thermal paths and molded underfill
US10074633B2 (en) * 2016-11-08 2018-09-11 Micron Technology, Inc. Semiconductor die assemblies having molded underfill structures and related technology
US10170392B2 (en) * 2017-04-05 2019-01-01 International Business Machines Corporation Wafer level integration for embedded cooling
US10748872B2 (en) * 2017-08-22 2020-08-18 Micron Technology, Inc. Integrated semiconductor assemblies and methods of manufacturing the same
WO2019146039A1 (ja) 2018-01-25 2019-08-01 ソフトバンク株式会社 三次元積層集積回路の冷媒による冷却方式と、それを用いた三次元積層集積回路
US10548239B1 (en) * 2018-10-23 2020-01-28 Google Llc Cooling electronic devices in a data center
US11011449B1 (en) 2020-02-27 2021-05-18 Micron Technology, Inc. Apparatus and method for dissipating heat in multiple semiconductor device modules
US11348857B2 (en) * 2020-06-16 2022-05-31 Micron Technology, Inc. Lidded microelectronic device packages and related systems, apparatus, and methods of manufacture
KR20220075507A (ko) 2020-11-30 2022-06-08 삼성전자주식회사 고 전도 층을 갖는 반도체 패키지
US11887908B2 (en) * 2021-12-21 2024-01-30 International Business Machines Corporation Electronic package structure with offset stacked chips and top and bottom side cooling lid

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4323914A (en) 1979-02-01 1982-04-06 International Business Machines Corporation Heat transfer structure for integrated circuit package
US5001548A (en) 1989-03-13 1991-03-19 Coriolis Corporation Multi-chip module cooling
NO911774D0 (no) * 1991-05-06 1991-05-06 Sensonor As Anordning ved innkapsling av et funksjonsorgan, samt fremgangsmaate for fremstilling av samme.
US5405808A (en) 1993-08-16 1995-04-11 Lsi Logic Corporation Fluid-filled and gas-filled semiconductor packages
KR970005712B1 (ko) * 1994-01-11 1997-04-19 삼성전자 주식회사 고 열방출용 반도체 패키지
JPH08116138A (ja) * 1994-10-17 1996-05-07 Mitsubishi Heavy Ind Ltd 半導体レーザ素子の冷却装置
KR100236016B1 (ko) 1996-12-16 1999-12-15 구자홍 적층형 반도체 패키지 및 그의 어셈블리 방법
US6153929A (en) * 1998-08-21 2000-11-28 Micron Technology, Inc. Low profile multi-IC package connector
US6686654B2 (en) 2001-08-31 2004-02-03 Micron Technology, Inc. Multiple chip stack structure and cooling system
SG104348A1 (en) * 2002-11-21 2004-06-21 Inst Of Microelectronics Apparatus and method for fluid-based cooling of heat-generating devices
US6724080B1 (en) 2002-12-20 2004-04-20 Altera Corporation Heat sink with elevated heat spreader lid
US20050224953A1 (en) 2004-03-19 2005-10-13 Lee Michael K L Heat spreader lid cavity filled with cured molding compound
US7215018B2 (en) * 2004-04-13 2007-05-08 Vertical Circuits, Inc. Stacked die BGA or LGA component assembly
US20070126103A1 (en) 2005-12-01 2007-06-07 Intel Corporation Microelectronic 3-D package defining thermal through vias and method of making same
US20080042302A1 (en) 2006-08-16 2008-02-21 Crispell Robert B Plastic overmolded packages with molded lid attachments
US20100117209A1 (en) * 2007-02-28 2010-05-13 Bezama Raschid J Multiple chips on a semiconductor chip with cooling means
US7592697B2 (en) * 2007-08-27 2009-09-22 Intel Corporation Microelectronic package and method of cooling same
JP2010123881A (ja) * 2008-11-21 2010-06-03 Fujikura Ltd コールドプレート
US8299633B2 (en) * 2009-12-21 2012-10-30 Advanced Micro Devices, Inc. Semiconductor chip device with solder diffusion protection
JP2011216818A (ja) * 2010-04-02 2011-10-27 Elpida Memory Inc 半導体装置の製造方法
US20120061059A1 (en) * 2010-09-09 2012-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling mechanism for stacked die package and method of manufacturing the same
JP2012253104A (ja) * 2011-05-31 2012-12-20 Zycube:Kk インターポーザを用いた積層モジュールの実装構造
US8526186B2 (en) 2011-07-11 2013-09-03 Texas Instruments Incorporated Electronic assembly including die on substrate with heat spreader having an open window on the die
US9269646B2 (en) 2011-11-14 2016-02-23 Micron Technology, Inc. Semiconductor die assemblies with enhanced thermal management and semiconductor devices including same
JP5867259B2 (ja) * 2012-04-17 2016-02-24 住友ベークライト株式会社 積層体の製造方法
JP5975110B2 (ja) * 2012-10-29 2016-08-23 富士電機株式会社 半導体装置

Also Published As

Publication number Publication date
EP3170201A1 (en) 2017-05-24
WO2016010703A1 (en) 2016-01-21
US20160013114A1 (en) 2016-01-14
KR20170031734A (ko) 2017-03-21
TWI548056B (zh) 2016-09-01
CN106489202B (zh) 2019-10-11
US9337119B2 (en) 2016-05-10
TW201606976A (zh) 2016-02-16
KR101996154B1 (ko) 2019-07-03
JP2017525150A (ja) 2017-08-31
EP3170201A4 (en) 2018-03-21
CN106489202A (zh) 2017-03-08
EP3170201B1 (en) 2021-10-13

Similar Documents

Publication Publication Date Title
JP6626083B2 (ja) 高効率熱経路を有する積層半導体ダイアセンブリおよび関連システム
US11776877B2 (en) Methods of manufacturing stacked semiconductor die assemblies with high efficiency thermal paths
JP6625599B2 (ja) 高効率熱経路を有する積層半導体ダイアセンブリおよび関連システム
US11688664B2 (en) Semiconductor device assembly with through-mold cooling channel formed in encapsulant
US10424495B2 (en) Stacked semiconductor die assemblies with high efficiency thermal paths and molded underfill
JP6122863B2 (ja) 複数の熱経路を備える積み重ねられた半導体ダイアセンブリ、ならびに関連するシステムおよび方法
JP6550140B2 (ja) アンダーフィル収容キャビティを伴う半導体デバイス・アセンブリ

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170323

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170323

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180309

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181113

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191017

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191127

R150 Certificate of patent or registration of utility model

Ref document number: 6625599

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250