JP6599943B2 - アナログ/デジタル変換用のシステム及び方法 - Google Patents

アナログ/デジタル変換用のシステム及び方法 Download PDF

Info

Publication number
JP6599943B2
JP6599943B2 JP2017157948A JP2017157948A JP6599943B2 JP 6599943 B2 JP6599943 B2 JP 6599943B2 JP 2017157948 A JP2017157948 A JP 2017157948A JP 2017157948 A JP2017157948 A JP 2017157948A JP 6599943 B2 JP6599943 B2 JP 6599943B2
Authority
JP
Japan
Prior art keywords
measurement window
sigma delta
decimation
filter
motor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017157948A
Other languages
English (en)
Other versions
JP2018067909A (ja
Inventor
イェンス バレンシェーン,
マティアス ボーグス,
ベンノ ケップル,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of JP2018067909A publication Critical patent/JP2018067909A/ja
Application granted granted Critical
Publication of JP6599943B2 publication Critical patent/JP6599943B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M1/00Analogue/digital conversion; Digital/analogue conversion
    • H03M1/12Analogue/digital converters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M3/00Conversion of analogue values to or from differential modulation
    • H03M3/30Delta-sigma modulation
    • H03M3/458Analogue/digital converters using delta-sigma modulation as an intermediate step
    • H03M3/462Details relating to the decimation process
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02PCONTROL OR REGULATION OF ELECTRIC MOTORS, ELECTRIC GENERATORS OR DYNAMO-ELECTRIC CONVERTERS; CONTROLLING TRANSFORMERS, REACTORS OR CHOKE COILS
    • H02P23/00Arrangements or methods for the control of AC motors characterised by a control method other than vector control

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Power Engineering (AREA)
  • Compression, Expansion, Code Conversion, And Decoders (AREA)
  • Control Of Ac Motors In General (AREA)

Description

本発明の実施形態は、一般に電気回路に関し、特にアナログ/デジタル変換のシステム及び方法に関する。
三相モータなどのACモータは、自動車、工業、及びHVAC(暖房、換気及び空調)などの用途で人気を獲得している。従来的なモータで用いられる機械的整流子を電子装置と交換することによって、信頼性の改善、耐久性の改善、及びスモールフォームファクタが達成される。三相モータの追加の利点は、例として、より優れた速度対トルク特性、より速い動的応答、及びより高速な速度範囲を含む。一般に、ACモータ(例えば三相モータ)は、モータの相異なる相に結合された電源スイッチ用の駆動信号を生成するために用いられるパルス幅変調(PWM)信号を生成するコントローラを有する。これらのPWM信号は、モータのコイルに供給される平均電圧及び平均電流を決定し、そのようにしてモータの速度及びトルクを制御し得る。
ACモータの閉ループ制御用に、モータの状態は、例えばフィードバック経路を介して、モータシステムのコントローラに提供されてもよい。例えば、三相モータの相電流が測定され、測定値は、コントローラに送信され、コントローラは、相電流の測定値に基づいてPWM制御信号を生成する。アナログ/デジタル変換器(ADC)は、アナログ信号(例えば三相モータの各相における相電流)をモータ制御アプリケーションにおけるデジタルデータに変換するために用いられることが多い。
実施形態によれば、シグマデルタアナログ/デジタル変換器(ADC)を動作させる方法は、シグマデルタADCのシグマデルタ変調器を用いて、アナログ入力信号をデジタルデータシーケンスに変換することと、測定窓の第1の条件に従って、シグマデルタADCのデシメーションフィルタ用の第1の構成を設定することと、デシメーションフィルタの低域通過フィルタ(LPF)を用いて、デジタルデータシーケンスをフィルタリングすることと、測定窓における変化に応じ、測定窓の第2の条件に従って、デシメーションフィルタ用の第2の構成を設定することと、を含む。
本発明の1つ又は複数の実施形態の詳細は、添付の図面及び以下の説明で明らかにされる。本発明の他の特徴、目的及び利点は、説明及び図面並びに特許請求の範囲から明らかになろう。図において、同一の参照符号は、一般に、様々な図の全体を通して同じ構成部分を示し、それらは、簡潔さのために一般に再説明はされない。ここで、本発明のより完全な理解のために、添付の図面に関連して書かれた以下の説明が参照される。
三相モータシステムの概略図を示す。 三相モータシステム用の時間図を示す。 三相モータシステム用の2つの相異なる動作条件下の2つの相異なる測定窓を示す。 三相モータシステム用の2つの相異なる動作条件下の2つの相異なる測定窓を示す。 再構成可能なシグマデルタADCのブロック図を示す。 シグマデルタADCの相異なる低域通過フィルタ用の重み分布を示す。 デシメーションフィルタを示す。 相異なるタイプのデシメーションフィルタ用の数値出力範囲対デシメーションファクタを示す。 再構成可能なデシメーションフィルタを示す。 測定サイクル内における測定窓の位置の調整を示す。 三相モータ回路を示す。 シグマデルタADCを動作させる方法用の流れ図を示す。 シグマデルタADCを動作させる方法用の流れ図を示す。
現在の好ましい実施形態の製造及び使用は、以下で詳細に説明される。しかしながら、本発明が、種々様々な特定の文脈において具体化され得る多くの適用可能な発明概念を提供することを理解されたい。説明される特定の実施形態は、本発明を製造し使用する特定の方法の単に実例であり、本発明の範囲を限定するものではない。
本発明は、特定の文脈、即ち測定窓における変化に応じて、三相モータの相電流をデジタル値に適応的に変換する特定の文脈における例示的な実施形態に関して説明される。本発明の実施形態はまた、変化する動作条件下の他のタイプのアナログ/デジタル変換用途に適用されてもよい。
モータ制御用途において、シグマデルタADCは、三相モータの三相電流を測定するために用いられることが多い。様々な実施形態において、シグマデルタADCのデシメーションフィルタのフィルタ次数(R)及び/又はデシメーションレート(D)は、測定窓における変化に応じて適応的に調整される。幾つかの実施形態において、R及びDは、デシメーションフィルタに供給されたR×Dサンプルによって占められる時間間隔が、測定窓の期間より小さく、且つ所定のビット精度が、デシメーションフィルタの出力におけるデジタル値用に達成されるように選択される。シグマデルタADCのスケーリングユニットの利得係数もまた、シグマデルタADCの総利得が、測定窓における変化の前後にほぼ同じままであるように、R及びDの調整を用いて適応的に調整される。
図1を参照すると、モータシステム100は、3つのハーフブリッジを介して電源Vsup(コンデンサCを横断する電圧Vsupとして示されている)に接続されたACモータ101(例えば三相モータ101)を含む。3つのハーフブリッジのそれぞれは、ローサイドスイッチ(例えばTla、Tlb又はTlc)と直列に結合されたハイサイドスイッチ(例えばTha、Thb又はThc)を含み、ハイサイドスイッチは、電源Vsupの高電位端子に結合され、ローサイドスイッチは、電源の低電位端子に結合される。例えば、第1のハーフブリッジは、ローサイドスイッチTlaと直列に結合されたハイサイドスイッチThaを含む。第1のハーフブリッジにおいて、ハイサイドスイッチThaのゲートは、ゲートドライバGDhaの出力部に結合され、ハイサイドスイッチThaのドレイン端子は、電源Vsupに結合され、ハイサイドスイッチThaのソース端末は、ローサイドスイッチTlaのドレイン端子に結合される。ローサイドスイッチTlaのゲートは、ゲートドライバGDlaの出力部に結合され、ローサイドスイッチTlaのソースは、分路抵抗器Rを介して、低電位端子(例えば電気接地)に結合される。ハイサイドスイッチThaのソース端末は、ノードPにおいてローサイドスイッチTlaのドレイン端子に接続し、ノードPはまた、位相ノードPと呼ばれる。第2のハーフブリッジ及び第3のハーフブリッジのトポロジは、第1のハーフブリッジのトポロジと似ており、従ってここでは繰り返されない。図1に示されているように、三相モータ101の三相は、3つの導電経路(例えば電線)110、120及び130を介して、位相ノードP、P及びPにそれぞれ結合される。別の実施形態において、分路抵抗器は、ハイサイドスイッチのソース経路又はドレイン経路に位置する。
ACモータ101は、任意の種類の多相モータ(例えば三相より多い)であってもよく、三相モータは、単に例である。ACモータ101は、同期モータ、誘導モータ、スイッチトリラクタンスモータ等であってもよい。開示された実施形態は、他のタイプのACモータもまた使用可能であり、且つ本開示の範囲内であることを理解して、三相モータを例として用いる。
図1に示されているように、分路抵抗器Rを横断する分路電圧V、分路抵抗器Rを横断する分路電圧V、及び分路抵抗器Rを横断する電圧Vは、ADCモジュール140に送られ、デジタル値に変換される。ADCモジュール140は、1つ又は複数の適切なアナログ/デジタル変換器を含んでもよい。例えば、ADCモジュール140は、3つのシグマデルタADCを有してもよく、3つのシグマデルタADCのそれぞれは、入力アナログ信号(例えば、分路電圧V、V及びV)の1つをデジタル値に変換する。ADCモジュール140の出力は、入力アナログ信号(例えばV、V及びV)の測定値を表し、それらの測定値は、データ経路143を介して、PWMモジュール150に送信される。データ経路143は、幾つかの実施形態において、マルチビットデータ経路である。PWMモジュール150は、ハイサイドスイッチ(例えばTha、Thb及びThc)及びローサイドスイッチ(例えばTla、Tlb及びTlc)の動作を制御する、PWM制御信号(例えばPWMha、PWMla、PWMhb、PWMlb、PWMhc及びPWMlc)とも呼ばれるPWMパルスを生成することによって、三相モータ101の動作を制御し、今度はハイサイドスイッチ(例えばTha、Thb及びThc)及びローサイドスイッチ(例えばTla、Tlb及びTlc)は、三相モータ101に供給される電圧及び/又は電流を制御する。PWMモジュール150によって生成されたPWMパルスは、ゲートドライバ(例えばGDha、GDla、GDhb、GDlb、GDhc、GDlc)によってバッファされてもよく、ゲートドライバの出力は、ハイサイドスイッチ及びローサイドスイッチを駆動するために用いられる。PWMモジュール150は、例として、モータ制御用のマイクロプロセッサ、マイクロコントローラ、特定用途向け集積回路(ASIC)であってよく、又はそれらを含んでもよい。PWMモジュール150は、幾つかの実施形態において、例えば、ADCモジュール140によって供給された分路電圧V、V、及びVの測定値を監視することによって、三相モータ101の状態を監視し、且つ三相モータ101の動作を制御するPWMパルスを生成する。PMWモジュール150は、例えば、制御経路145を介して、三相モータ101の相異なる動作条件に応じてADCモジュール140の構成を適応的に修正することによって、ADCモジュール140の動作を制御してもよく、この制御経路145は、マルチビットデータ経路であってもよい。ADCモジュール140の構成を適応的に修正することに関する詳細は、以下で説明される。
図1の例において、ハイサイドスイッチTha、Thb及びThc及びローサイドスイッチTla、Tlb及びTlcは、金属酸化物半導体電界効果トランジスタ(MOSFET)として示されている。絶縁ゲートバイポーラトランジスタ(IGBT)などの他の適切な電源スイッチもまた、用いられてもよい。3つのハーフブリッジを有する三相モータシステム100は、単に例であり、多相モータシステム用の他のトポロジもまた、用いられてもよい。本明細書で開示された信号取得システム(例えばアナログ/デジタル変換システム)における変化する動作条件に応じて、ADCの構成を適応的に修正する原理は、モータ制御用途のほかに他の用途に適用されてもよい。加えて、3つのアナログ入力信号(例えば3つの分路電圧)がデジタル値に並列に変換される本開示の実施形態において、当業者は、本明細書で開示された適応的な信号取得システム及び方法が、例えば、3つのアナログ入力信号より少数の又は多数の任意の数のアナログ入力信号用に利用され得ることを理解されよう。別の実施形態において、信号取得システムは、測定される単一の負荷又は単一の入力値を有し、測定のタイミングは、信号取得システムの動作条件に依存する。加えて、三相モータシステム(例えばモータシステム100)用に、ハーフブリッジの2つからの相電流だけを測定することが可能である。何故なら、第3の相電流は、例えば、キルヒホッフの法則を用い計算され得るからである。
様々な実施形態において、三相モータ101の相電流(例えば電気経路110、120及び130をそれぞれ流れるI、I及びI)は、同時に測定される。モータ又は負荷の構造に依存して、相電流は、ハイサイドスイッチ及びローサイドスイッチのスイッチング動作故に、約5%〜約10%の間で、又は一PWM周期(例えば図2におけるT〜Tの周期)にわたって、それらの公称値より更に多く変化する可能性がある。モータ101のトルク制御の精度は、全ての相電流の測定時刻が、互いに近いか又は理想的には同時である場合に、改善される可能性がある。従って、幾つかの実施形態において、3つの全ての相の相電流(例えばI、I及びI)は、並列に且つ/又は同時に測定される。例えば、3つのADCは、I、I及びI又は分路電圧V、V及びVをデジタル値に並列に変換するために用いられてもよい。3つのADCが、時には同時とも呼ばれる並列において、アナログ電圧をデジタル値に変換するように、同じ制御信号(例えば同じクロック信号、同じカウンタ及び/又は同じイネーブル信号)が、3つのADC(例えば3つのシグマデルタADC)を制御するために用いられてもよい。幾つかの実施形態において、3つのADCのサンプリング時間は、同期されるか又はほぼ同じである。
再び図1を参照すると、各相電流は、対応するローサイドスイッチがアクティブ(例えばオンにされる)で、相電流が分路抵抗器を通って流れる場合に、対応する分路電圧をデジタル値に変換することによって測定される。例えば、相電流Iを測定するために、ローサイドスイッチTlaがアクティブである(例えばトランジスタTlaがオンである)場合に、分路抵抗器Rを横断する分路電圧Vが測定される。上記のように、幾つかの実施形態において、3つの全ての三相電流I、I及びIは、同時に測定又はサンプリングされ、従って、3つの全ての電圧V、V及びVは、測定に適した時間間隔(測定窓とも呼ばれる)においてデジタル値に変換される。図2における時間Tと時間Tとの間の時間間隔は、全てのローサイドスイッチがアクティブな場合の測定窓を示す。測定窓のより多くの詳細は、図2、3A及び3Bに関連して以下で説明される。
図1の例において、分路抵抗器R、R及びRは、ローサイド電流経路(例えばローサイドスイッチと、電気接地などの基準電圧値との間)に位置し、従って、相電流は、ローサイドスイッチがアクティブである場合に、分路抵抗器を通って流れる。当業者は、分路抵抗器が、ハイサイド電流経路(例えばハイサイドスイッチと電源Vsupとの間)に位置してもよく、その場合、ハイサイドスイッチがアクティブである場合に(例えばオンにされる)、相電流が測定され得ることを理解されよう。本開示は、本明細書で開示された原理が、ハイサイド電流経路に分路抵抗器を有するシステムに適合され得るという理解して、分路抵抗器がローサイド電流経路にある例を用いる。
図2は、図1に示されている三相モータシステム100用のセンターアラインPWMモードにおけるハイサイドスイッチ及びローサイドスイッチの活性化及び不活性化のタイミング図を示す。センターアラインPWMモードにおいて、電源スイッチ(例えばハイサイドスイッチ及びローサイドスイッチ)の相異なるデューティサイクルに対応するPWM制御信号の2つのエッジは、整列せず、PWM信号のエッジは、互いに対称である。デューティサイクルは、スイッチのオン時間と、スイッチのオン時間及びオフ時間の合計(スイッチング周期)との間の比率を指してもよい。図2において、ハイサイドスイッチ用のPWM制御信号PWMha、PWMhb及びPWMhcは、時間Tから時間Tまで示され、T〜Tの時間間隔は、PWM周期を表し、T〜Tの時間間隔は、次のPWM周期の最初の部分を表す。時間T、T、...及びTのそれぞれは、電源スイッチ用のスイッチング時間(例えば、オンからオフへの切り替え又はオフからオンへの切り替え)を表す。図2に示されている「高」電圧レベルは、ハイサイドスイッチを活性化する(例えば、ハイサイドトランジスタをオンにする)適切な電圧レベルを表し、「低」電圧レベルは、ハイサイドスイッチを不活性化する(例えば、ハイサイドトランジスタをオフにする)適切な電圧レベルを表す。幾つかの実施形態において、ローサイドスイッチ用のPWM制御信号PWMla、PWMlb及びPWMlcは、対応するハイサイドスイッチ用のPWM制御信号と相補的であり、従って図2には示されていない。別の実施形態において、エッジアラインモードは、センターアラインモードの代わりに用いられる。エッジアラインモードにおいて、ハイサイドスイッチは、同じスイッチング状態(例えばオン又はオフ)を有し、ローサイドスイッチは、同じスイッチング状態(例えばハイサイドスイッチのスイッチング状態と反対の)を有する。エッジアラインモードにおけるスイッチング周期の終わりに、ハイサイドスイッチ及びローサイドスイッチのスイッチング状態は、スイッチング周期の最初におけるそれらのスイッチング状態に対して変更される。新しいスイッチング周期を開始するために、ハイサイドスイッチ及びローサイドスイッチは、スイッチング周期の最初におけるそれぞれの元のスイッチング状態へと逆に変化する。センターアラインモードと比較して、エッジアラインモードスイッチにおける電源スイッチのそれぞれは、各スイッチング周期にわたって同様の電流リップルを達成するために、センターアラインモードより2倍頻繁にオン及びオフを切り替える。エッジアラインモードにおいて、相電流を測定するために利用できる時間間隔は、幾つかの実施形態において、センターアラインモードにおける時間間隔の半分だけである。幾つかの実施形態において、PWMパターンは、異なる方法で生成されてもよい。例えば、一相用のPWM信号は、(他に対して非対称的に)移相角だけシフトされてもよく、測定窓は、異なるタイミングで画定されてもよい。
図2に示されているように、時間TとTとの間及び時間TとTとの間で、2つのハイサイドスイッチ(例えばThb及びThc)及び1つのローサイドスイッチ(例えばTla)が、アクティブである。時間TとTとの間と同様に時間TとTとの間で、2つのローサイドスイッチ(例えばTla及びTlb)及び1つのハイサイドスイッチ(例えばThc)が、アクティブである。時間TとTとの間の時間間隔中に、3つの全てのローサイドスイッチ(例えばTla、Tlb及びTlc)は、アクティブである。図1に示されている三相モータシステム100に関して、相電流I、I及びIは、時間TとTとの間の時間間隔中に分路抵抗器R、R及びRをそれぞれ通って流れる。従って、時間TとTとの間の時間間隔は、測定窓を形成し、その間に、相電流I、I及びIは、分路電圧V、V及びVを測定することによって並列に測定することができる。分路電圧が、式V=I×R(この式でx=a、b又はc)によって、相電流と直接関連付けられることに留意されたい。当業者は、ハイサイド経路に分路抵抗器を有する三相モータシステムに関して、時間TとTとの間の時間間隔が、測定窓を形成し、その間に相電流I、I及びIが、並列に測定され得ることを理解されよう。ハイサイドスイッチ及び対応するローサイドスイッチが、それらのスイッチング状態を変化させる場合に、それらのスイッチを通るシュートスルー電流を回避するために、幾つかの実施形態において、1つのスイッチの不活性化ともう一方のスイッチの活性化との間の最小不感時間(例えばハイサイドスイッチ及び対応するローサイドスイッチが両方とも不活性化される時間間隔)が導入される。幾つかの実施形態において、スイッチは、オフに切り替わるより速くオンに切り替わってもよい。電源スイッチの活性化と不活性化との間に不感時間が存在するが、かかる不感時間は、各スイッチングサイクルの期間(例えばT、T、...Tなどの2つの隣接するスイッチング時間の間の時間間隔)と比較すると、通常無視することができる。従って、不感時間は、図2、3A及び3Bに示されていない。
図2に示されている時間図は、単に例である。三相モータの相用のスイッチングシーケンスは、回転子位置に依存し、相は、回転子の1回転にわたってそれらの役割を変化させる。従って、他のタイミング図が可能であるが、しかし本明細書で開示された原理は、任意の時間図に適用可能である。後続の説明において、T〜TのPWM周期はまた、測定サイクルと呼ばれてもよい。
図3A及び3Bは、図1に示されているモータシステム100の相異なる動作条件下の2つの相異なる測定窓を示す。幾つかの実施形態において、図3Aに示されているように、低トルクが三相モータ101に要求される場合に、スイッチング時間T、T及びTと同様に、スイッチング時間T、T及びTは、互いに近く、PWM周期Wの大きな測定窓MWに帰着する。図3Aにおいて、測定窓MWの期間(時には、測定窓サイズと呼ばれる)は、時間TとT(T及びTはラベル付けされていない。ラベル用には図2を参照)との間の期間より短く選択されることに留意されたい。これは、時間Tと測定窓MWの開始との間の測定遅延Sを考慮する。測定遅延Sは、電源スイッチのスイッチング後に相電流用の整定時間として(例えば、電流測定へのスイッチングノイズの影響を低減するために)、且つ/又はアナログ部におけるバイアス電流の整定若しくはデジタルフィルタにおける群遅延の補償を可能にするADC測定ユニットの整定時間として用いられてもよい。その結果、測定窓MW内において、測定されるアナログ信号(例えば分路電圧)は落ち着き、正確に測定することができる。測定遅延Sは、図3Aの例において非ゼロである。他の実施形態において、測定遅延S用にゼロ値が用いられる。図3Aはまた、測定窓MWの終わりと時間Tとの間のマージンmを示す。マージンmは、図3Aの例において非ゼロ値を有する。他の実施形態において、マージンmは、ゼロである。PWM周期Wの開始と測定窓MWの開始との間の遅延は、開始遅延と呼ばれ、SDによって示されている。幾つかの実施形態において、相電流は、TとTとの間の時間のはっきり定義された時点、例えば、相電流が、その平均値に近い時点で測定される。測定窓の開始は、TとTとの間の時間の所定の時点で変換結果を取得するために、開始遅延SD1によって制御されてもよい。
図3Bは、高トルクが三相モータ101に要求される場合の測定窓を示す。図3Bに示されているように、高トルク動作条件用に、スイッチング時間T、T及びTと同様にスイッチング時間T、T及びTは、低トルク動作条件より離れて置かれ、PWM周期W内の小さな測定窓MWに帰着する。様々な実施形態において、高トルク条件下の測定窓MWの期間は、低トルク条件下の測定窓MWの期間より小さい。図3Aと同様に、測定窓MWは、測定遅延S及びマージンmを有し、測定窓MWの開始遅延は、SDとして示されている。開始遅延SDは、図3Aにおける開始遅延SDと同じであってもなくてもよい。例えば、開始遅延SDが、デシメーションフィルタの群遅延を補償するために用いられる場合に、それは、デシメーションフィルタ特性が変化する(例えばデシメーションファクタ又はフィルタ次数の変化)とき又は測定窓が変化するときに、遅延SDと異なってもよい。
図4は、実施形態のシグマデルタADC400のブロック図を示す。幾つかの実施形態において、アナログ入力信号(例えば分路電圧)は、例えばシグマデルタADC400を用いて、アナログ入力をデジタル値に変換することによって測定される。図4に示されているように、実施形態のシグマデルタADC400は、シグマデルタ変調器410、デシメーションフィルタ420、利得調整モジュール430、及び構成モジュール440を含む。シグマデルタ変調器400は、サンプリング周波数fで、入力端子413においてアナログ入力信号をサンプリングし、且つ出力415でアナログ入力信号をデジタルストリーム(例えばデジタルデータシーケンス)に変える。幾つかの実施形態において、変調器410は、測定窓の外側で、省電力モードに設定される。例えば、変調器410は、測定窓の外側で、省電力の理由でディスエーブルにされてもよく(例えば、変調器を停止する且つ/又は変調器を駆動するクロック信号を開閉する)、開始遅延(例えば図3A及び3BにおけるSD及びSD)後に測定窓の内部でイネーブルにされてもよい。この場合に、開始遅延はまた、変調器410の整定時間を補償してもよい。幾つかの実施形態において、シグマデルタ変調器410の出力415におけるデジタルストリームは、1ビットデータストリーム(例えば1ビット分解能を備えたデジタルデータシーケンス)を含むが、マルチビットシグマデルタ変調器出力が、他の実施形態において用いられてもよい。シグマデルタ変調器からのデータストリームは、サンプリングレートfを有し、サンプリングレートfは、アナログ入力信号のナイキストサンプリング周波数より通常はるかに高い(例えば2又は3桁大きい)。例えば、約20のKHzの位相周波数を備えた三相モータシステムに関し、シグマデルタ変調器サンプリング周波数fは、約20MHzであってもよい。幾つかの実施形態において、大きなサンプリング周波数は、簡単なLPF423の使用を可能にし、且つデシメーションフィルタ420の出力において、より多くのビット分解能を提供することが可能である。シグマデルタ変調器は、当該技術分野において周知であり、詳細は、ここでは繰り返されない。
再び図4を参照すると、デシメーションフィルタ420は、2つの機能モジュール、即ち低域通過フィルタ(LPF)423及びダウンサンプラ425(デシメータ425とも呼ばれる)を含む。実際の実装形態において、LPF423及びダウンサンプラ425は、同じ回路モジュール又はハードウェアモジュールに実現されてもよいが、LPF423及びダウンサンプラ425は、相異なる回路モジュール又はハードウェアモジュールに実現されてもよい。幾つかの実施形態において、LPF423は、π/Dのデジタルカットオフ周波数を有し、この式で、πは、サンプリング周波数fの半分に相当する正規化されたデジタル周波数(ラジアン/秒における)であり、Dは、デシメータ425のデシメーションファクタである。LPF423は、無限インパルス応答(IIR)フィルタ、有限インパルス応答(FIR)フィルタ、同期フィルタ、櫛形フィルタなどの相異なるタイプのフィルタを用いて構築されてもよい。LPF423は、その入力信号(例えば1ビットデジタルストリーム)におけるデジタル周波数π/Dを超える周波数成分を低減又は除去し、且つLPFの出力が、ダウンサンプラ425によってダウンサンプリングされる(デシメートされるとも呼ばれる)場合に、デシメーションプロセスにおけるエイリアシングを防ぐ。ダウンサンプラ425は、Dのファクタ(デシメーションファクタD、デシメーションレートD及びダウンサンプリングファクタDとも呼ばれる)によってデジタル信号のサンプリング周波数を低減する。デシメーションフィルタ420は、処理の複数のステージ(図4には示されていない)を含んでもよく、各ステージは、LPF及びデシメータを有する。デジタル周波数π/Dを超える、変調器におけるデジタルストリーム415の周波数成分を除去することに加えて、LPF423はまた、入力信号を「平均」し、それによってデジタルストリーム415をマルチビットデジタル値に変換するように機能する。LPF423からのマルチビットデジタル値は、デシメータ425によってDのファクタによってデシメートされ、nビットデジタル値は、デシメーションフィルタ420のデータ経路417において発信される。デシメーションフィルタ420は、時にはシグマデルタ復調器420と呼ばれる。
図4は、デシメーションフィルタ420の出力部に結合された利得調整モジュール430を示す。幾つかの実施形態において、利得調整モジュール430は、デシメーションフィルタ420の出力にスケーリングファクタを掛ける乗算器を含む。他の実施形態において、利得調整モジュール430は、正規化されたデータフォーマットを出力する除算器ユニットを含む。乗算器又は除算器のいずれかを用いて、利得調整は、デシメーションフィルタ420の出力をスケーリングファクタによってスケーリングする。シグマデルタADC400の出力419は、利得調整モジュール430の出力に対応する。幾つかの実施形態において、図4における構成モジュール440は、デシメーションフィルタ420の構成及び利得調整モジュール430のスケーリングファクタを設定する。外部インターフェース450は、構成モジュール440が、コントローラ、例えば図1におけるPWMモジュール150によって制御され得るようにする。
図5は、相異なる次数を備えたLPFフィルタ423用の幾つかの例示的な重み分布を示す。幾つかの実施形態において、LPF423の次数は、LPF423の構造における遅延要素の数と関連する。図5の例において、測定窓は、上部に示され、LPF423の重み分布(例えばフィルタ係数の大きさ)は、一次LPF、二次LPF及び三次LPF用に示されている。図5の例に示されているように、一次LPFは、均一な重み分布を有してもよく、それは、シグマデルタ変調器410からの全てのデジタルサンプルが、LPF423の出力を計算する際に、LPF423によって等しく重み付けされることを意味する。対照的に、二次LPFの重み分布は、三角形状を有してもよく、フィルタの中央におけるデジタルサンプルは、LPF423の出力を計算する際に、最も重み付けされる。三次LPFに関し、重み分布は、非線形であってもよいが、しかしやはりフィルタの中心で最も高い重みを有してもよい。
図6は、無限インパルス応答(IIR)構造を有するデシメーションフィルタ420の例を示す。特に、Sincフィルタが、図6に示されている。図6に示されているように、Sincフィルタ420は、高サンプリングレートfで動作するアキュムレータ620(積分器とも呼ばれる)の3つのステージをカスケードすることによって実現することができ、その後、低サンプリングレートf/Dで動作するカスケードされた微分器630の3つのステージが続き、ここでDは、デシメーションファクタであり、デシメータ610は、アキュムレータ620と微分器630との間に置かれるデシメーションファクタDを有する。図6において、デシメーションフィルタのLPF及びデシメータは、1つの回路モジュールに一緒に実現され、従って、Sincフィルタは、図4におけるデシメーションフィルタ420の例である。図6に示された構造は、アキュムレータ620のKステージをカスケードすることによって、任意のSincフィルタ(ここでK=1、2、3、4...)用に一般化することができ、その後、カスケードされた微分器630のKステージが続き、デシメータ610は、アキュムレータ620と微分器630との間に置かれたデシメーションファクタDを有する。幾つかの実施形態において、Sincフィルタ420のLPFフィルタの次数は、Kとして定義される。
Sincフィルタは、IIRフィルタとして実現されてもよく、それは、出力値を生成するために、D×K入力サンプル(例えばサンプリング周波数fを備えたD×K連続入力サンプル)の加重平均を計算する有限インパルス応答(FIR)フィルタによって近似することでき、ここでDは、デシメーションファクタであり、Kは、LPFフィルタの次数である。図1のモータシステム100において、相電流は、測定サイクルの一定時間(例えば測定窓)中に分路抵抗器(例えばR、R及びR)の全てを通って流れる。従って、加重平均を計算する際に用いられるD×K入力サンプルによって占められる時間間隔は、幾つかの実施形態において、測定窓内に収まるように設計される。N入力サンプルを平均するフィルタに関し、N入力サンプルによって占められる時間間隔は、フィルタのスパンと呼ばれる。D×K/fによって計算され得るSincフィルタのスパンは、幾つかの実施形態において、測定窓の期間以下である。デシメーションファクタDは、デシメーションフィルタ420のオーバーサンプリングレート(OSR)又はシグマデルタADC400のオーバーサンプリングレート(OSR)と時には呼ばれる。
上記のように、LPF423は、マルチビット出力値を生成するために、複数の入力デジタルサンプル(例えばシグマデルタ変調器410からの1ビットデジタルサンプル)を平均する。幾つかの実施形態において、LPF423のビット分解能は、デシメータフィルタ420のビット分解能と同じである。一般に、出力値を生成するために、より多くの入力デジタルサンプルが、LPF423によって平均されればされるほど、出力値のビット分解能は、それだけ高い。図6に示されているSincフィルタに関し、デシメーションフィルタの出力のビット分解能は、k*logDによって決定され、この式でKは、LPFフィルタの次数であり、Dは、デシメーションファクタである。
図7は、デシメーションフィルタ420の最大出力レベル対4つの相異なるタイプのデシメーションフィルタのデシメーションファクタを示す。X軸に沿った値は、デシメーションファクタDを表し、y軸に沿った値は、最大出力レベル(例えば、1及び0を含む1ビット入力データストリーム用のデシメーションフィルタの最大出力値)を表す。例えば、32のデシメーションファクタを備えたSincフィルタに関し、最大出力レベルは、32,768であり、それは、デシメーションフィルタの出力値用の12ビット分解能に相当する。
測定窓の期間は、モータシステムの相異なる動作条件下で変化する。例えば、図3A及び3Bに示されているように、モータのトルクが高い場合に、測定窓は小さく、モータのトルクが低い場合に、測定窓は大きい。本開示の実施形態において、LPF423の次数及び/又はデシメータ425のデシメーションファクタDは、LPF423のスパンが、測定窓の期間内に収まるように、測定窓の変化(例えば測定窓の期間における変化)に応じて、適応的に調整される。これは、出力値を生成するために、測定窓においてシグマデルタ変調器によって生成される十分なデジタルサンプルが、LPF423によって平均されることを保証する。10μsの測定窓が、低トルク条件下のモータシステム用に利用可能な例を検討する。D=64のデシメーションファクタを備えた三次Sincフィルタ(K=3)が用いられ、シグマデルタ変調器用のサンプリング周波数は、20MHzである。Sincフィルタの等価FIRフィルタが、D×K=64×3=192サンプルを平均し、それが、9.6μsに相当し、従って、デシメーションフィルタ420(例えば、デシメーションファクタ64を備えたSincフィルタ)用の上記の構成が、10μsの測定窓に使用可能であることを思い起こしてほしい。モータのトルクにおける増加が、測定窓の期間を例えば2μsに低減させる場合に、それに応じて、LPFの次数及び/又はデシメータのデシメーションファクタは、LPFフィルタのスパンを低減させるために、調整する(例えば低減する)ことができる。例えば、上記の例において、20MHzのサンプリング周波数を備えたSincフィルタを検討する。より小さな測定窓に対応するために、デシメーションファクタDは、64から8に低減され得、8×3=24サンプルによって占められる時間間隔と等しいLPFのより小さなスパンに帰着することが可能であり、それは、約1.2μsである。反対に、測定窓の期間が増加する場合に、それに応じて、LPFの次数及び/又はデシメータのデシメーションファクタは、LPFフィルタのスパンを増加させるように調整(例えば増加)されてもよい。
幾つかの実施形態のモータシステムにおいて、高トルクは、高い相電流に対応し、低トルクは、低い相電流に対応する。上記の例において、低い相電流(例えば低トルク及び大きな測定窓)は、LPFフィルタのより長いスパンを可能にし、高い相電流(例えば高トルク及び小さな測定窓)は、LPFフィルタのより小さなスパンを可能にする。図7は、LPFフィルタのより長いスパンが、LPFの出力用に、より高いビット分解能を提供可能であり、LPFフィルタのより短いスパンが、LPFの出力用に、より低いビット分解能を提供可能であることを示す。従って、幾つかの実施形態において、アナログ信号の測定値(例えば相電流又は分路電圧)用の数値分解能(例えばビット分解能)は、アナログ入力信号の信号レベル(例えば相電流値又は分路電圧値)と共に変化する。例えば、小さなアナログ入力信号(例えば低トルク条件下の相電流)は、例えばシグマデルタADCによってデジタル値に変換された後に、高ビット分解能(例えば16ビット分解能)を有し得、大きな入力アナログ信号(例えば高トルク条件下の相電流)は、例えばシグマデルタADCによってデジタル値に変換された後に、低ビット分解能(例えば8ビット分解能)を有し得る。幾つかの実施形態において、アナログ入力信号の信号レベル(例えば電流値又は電圧値)は、測定窓の条件(例えば測定窓サイズ、測定サイクル内の測定窓の位置、及びアナログ入力信号の信号レベル)を決定し、従って、シグマデルタADCの構成(例えばLPF423用の次数K、デシメータ425用のデシメーションファクタD、並びに利得調整モジュール430用の利得F及びオフセットOFFS)を決定するために用いられる。アナログ入力信号の信号レベルはまた、モータシステムの測定用の構成(例えば開始遅延SD)を決定するために用いられてもよい。
幾つかの実施形態において、LPFフィルタのスパンは、LPFフィルタのスパンが、やはり測定窓の期間内に収まりながら、その期間とほぼ同じであるように、(例えばLPFフィルタの次数及び/又はデシメータのデシメーションファクタを調整することによって)適応的に調整され、そのようにして所与の測定窓サイズ用のLPFの出力値のビット分解能を最大化する。他の実施形態において、LPFフィルタのスパンは、LPFフィルタのスパンが、測定窓の期間内に収まり、且つLPFフィルタの出力において所定のビット分解能を提供するように、適応的に調整される。やはり他の実施形態において、LPFフィルタのスパンは、測定窓の期間より小さい。例えば、LPFフィルタのスパンが、所望のビット分解能を備えた出力を生成するために既に十分に長い場合に、LPFフィルタのスパンは、たとえ測定窓サイズが増加した場合でも、同じままであってもよい。
開示された上記の方法は、モータの相電流を測定するために有利に用いられ得る。例として、自動車舵取り装置用の用途を検討する。自動車が、高速で移動している場合に、低トルクが、モータに必要とされるが、しかしハンドルの正確な制御が望ましい。ハンドルを正確に制御するために、相電流の高精度(例えば高ビット分解能)の測定が必要とされる。反対に、自動車が低速である(例えば、駐車場で向きを変えている)場合に、高トルクがモータに必要とされるが、しかし相電流の測定は、高ビット分解能を有する必要はない。上記の開示された方法は、モータシステムの相異なる動作条件に応じて、デシメーションフィルタの構成を適応的に変化させるために用いることができ、それによって、低トルク条件下の高ビット分解能測定及び高トルク条件下の低ビット分解能測定を達成する。対照的に、固定構成(例えばフィルタの次数、デシメーションファクタ)を有する従来のデシメーションフィルタは、デシメーションフィルタのスパンが小さな測定窓内に収まり得るように、小さなフィルタスパンで設計されなければならない可能性あり、従って、固定される低ビット分解能測定だけを提供する可能性がある。
再び図4を一時的に参照すると、デシメーションフィルタ420は、測定窓中にシグマデルタ変調器410によって生成されたサンプルが、デシメーションフィルタ420に入る場合に、測定窓の間に動作するだけでもよい。デシメーションフィルタ420は、測定窓の外部でフリーズされてもよい(例えば動作しない)。同様に、シグマデルタ変調器410は、測定窓の外部でフリーズされてもよく、それは、測定窓の最初の近くにおける整定時間の低減を支援する。測定窓中に生成された十分なサンプル(例えばD×Kサンプル)が、LPF423に入る場合に、デシメーションフィルタ400は、アナログ信号の測定結果(例えば分路電圧)を生成するために、(測定窓内で連続的に動作する代わりに)一度動作してもよく、これは、デシメーションフィルタの単発動作モードと呼ばれる。他の実施形態において、デシメーションフィルタ420は、例えば、測定窓中に処理するための十分な入力サンプルが生成された場合に、測定窓内で連続的に動作する。
再構成可能なデシメーションフィルタ用の様々な実装形態が可能である。図8は、再構成可能なデシメーションフィルタ800用のブロック図を示し、このデシメーションフィルタ800は、図6のSincフィルタに似ているが、しかし追加のコンポーネント及び接続部を備えている。例えば、積分器(例えば620、620’及び620’’)の出力は、入力としてマルチプレクサ(MUX)640に送信され、MUX640は、入力の1つをMUX640の出力として選択するために、制御信号660によって制御される。同様に、微分器(例えば630、630’及び630’’)の出力は、入力としてMUX650に送信され、MUX650は、入力の1つをMUX650の出力として選択するために、制御信号660’(制御信号660と同じであってもよい)によって制御される。デシメータ610は、デシメーションレートDを調整するために、制御信号670によって制御される。幾つかの実施形態において、制御信号660及び660’は、Sincフィルタを形成するために、K番目の積分器及びK番目の微分器からMUX640及びMUX650の出力を選択するように構成される。例えば、第1の積分器620の出力が、MUX640の出力として選択され、且つ第1の微分器630の出力が、MUX650の出力として選択される場合に、Sincフィルタが形成される。同様に、第2の積分器620’の出力及び第2の微分器630’の出力の選択が、Sincフィルタを形成する等である。当業者は、他の次数(例えば、K>3)を備えたSincフィルタを形成するために、積分器及び微分器のより多くのステージをカスケードすることが可能であり、MUX640及びMUX650が、より多くの入力に対応するために修正されることになることを理解されよう。他の実施形態において、デシメーションフィルタ420は、ソフトウェアモジュール、例えばデジタル信号プロセッサ上を走るソフトウェアモジュールであってもよく、その場合に、デシメーションフィルタ420は、ソフトウェア選択を介して容易に再構成され得る。
図9は、時間TとTとの間の間隔内の測定窓の位置の調整を示す。測定窓の期間が、時間TとTとの間の時間間隔より小さい場合に、時間間隔内の測定窓の位置は、例えば開始遅延SDを調整することによって、最小測定遅延に適応するように調整することができる。これはまた、有利になり得る。何故なら、それは、アナログ信号が、TとTとの間の時間間隔内の所望の時点で測定されるようにすることができるからである。例えば、測定窓は、(T−T−MW)/2であるように測定遅延Sを選択することによって、TとTとの間の時間間隔の中央にあるように選択され得、ここでMWは、測定窓の期間である。測定遅延S及び開始遅延SD用の他の選択もまた可能である。幾つかの実施形態において、測定遅延S及び開始遅延SDの終わりは、アナログ入力信号の第1のサンプルが、デシメーションフィルタ420によって考慮される(例えば、LPF423の出力を計算する際に用いられる)場合に、同じ時点に対応する。PWMユニットにおける開始遅延は、PWM制御信号を生成するために用いられる同じ時間基準(例えば同じタイマ)を用いることによって、容易に制御され実現され得る。幾つかの実施形態において、測定窓の位置及びサイズが一定に保たれ、一方でデューティサイクルが変化する場合に、開始遅延もまた一定に保たれる。図9において、波形1010は、測定サイクルの開始を示すパルスPを示す。波形1020及び1030は、時間Tと時間Tとの間の短い時間間隔P内の測定窓MWの位置を示す例を提供する。波形1040及び1050は、時間Tと時間Tとの間の長い時間間隔P内の測定窓MWの位置を示す別の例を提供する。
再び図4を参照すると、幾つかの実施形態において、デシメーションフィルタ420の構成の調整は、デシメーションフィルタ420の利得を変化させる。例えば、SincフィルタのDC利得は、Dであり、ここでDは、デシメーションファクタであり、Kは、Sincフィルタの次数である。図4における利得調整モジュール430は、スケーリングファクタFを用いてデシメーションフィルタ420の出力をスケーリングする。スケーリングファクタFは、様々な実施形態において、シグマデルタADC400用のほぼ一定の利得を維持するために、(例えばデシメーションフィルタ420の構成が調整されている場合に)デシメーションフィルタ420を用いて調整することが可能である。例示的な実施形態において、第1の構成(例えばLPF423用の次数K及びデシメータ425用のデシメーションファクタD)を備えたデシメーションフィルタ420の利得は、Gであり、利得調整モジュール430のスケーリングファクタは、Fに設定される。デシメーションフィルタ420の利得が、例えば、測定窓における変化に応じて設定されるデシメーションフィルタ420の第2の構成故に変化する場合に、利得調整モジュールのスケーリングファクタは、G×FがG×Fとほぼ同じか又は同様であるように、Fに設定される。シグマデルタADC400用のほぼ一定の利得を維持することは、内部モジュールの構成(例えばデシメーションフィルタ420の構成及び利得調整モジュール430のスケーリングファクタ)から独立したシグマデルタADCの出力値を生成する。シグマデルタADC400の出力値419をシグマデルタADC400の内部構成から分離することによって、出力値419は、例えば、相異なる動作条件下でデシメーションフィルタ420の相異なる利得係数を補償する必要性なしに、容易に解釈され得、且つシステムにおける他のモジュールによって用いることができる。これは、本開示の別の利点を示す。他の実施形態において、利得調整モジュール430は、例えば正又は負のアナログ入力値を表すために、スケーリングされたデシメーションフィルタ出力に正又は負のオフセットOFFSを加算する。OFFSの値は、スケーリングされたデシメーション結果の利用可能な数値範囲に依存してもよい。
図10は、三相モータ回路2000を示す。抵抗器R、R及びRを横断する分路電圧は、それぞれ、シグマデルタ変調器2170、2170’及び2170’’によって受信され、且つデジタルサンプル(例えば1ビットデジタルサンプル)ストリーム2160、2160’及び2160’’に変換される。シグマデルタ復調器2180、2180’及び2180’’は、対応するシグマデルタ変調器からデジタルサンプルを受信し、且つ所望のビット分解能を備えたマルチビットデジタル値にデジタルサンプルを変換する。幾つかの実施形態において、クロック生成モジュール2060によって生成された変調器クロック信号は、全てのシグマデルタ変調器及びシグマデルタ復調器に送信される。変調器クロック信号は、シグマデルタ変調器及びシグマデルタ復調器の動作を制御するサンプリングクロック信号であってよく、又はそれを含んでもよい。クロック生成モジュール2060はまた、PWMクロック信号を生成してもよく、PWMクロック信号は、PWMタイマ2050に送信される。PWMタイマ2050は、測定サイクル及び各測定サイクル内のタイミングの経過を追うPWMクロック信号をカウントしてもよい。PWMタイマ2050は、3つの制御モジュール2010、2020及び2030に結合され、それらの制御モジュールのそれぞれは、PWM制御モジュールであってよく、又はそれらを含んでもよい。制御モジュール2010、2020及び2030のそれぞれは、幾つかの実施形態において、PWMタイマ2050の状態を比較用の或るプリセット値と比較し、且つ対応する電源スイッチ(図1を参照)用のPWM制御信号PWMla/PWMha、PWMlb/PWMhb及びPWMlc/PWMhcを生成する。制御モジュール2040は、PWMタイマ2050の状態を或るプリセット比較値(例えば測定窓の終わりに対応するタイマ値)と比較し、且つシグマデルタ復調器の動作を制御する制御信号を生成する。
幾つかの実施形態において、PWMタイマ2050は、アップ/ダウンカウンタとして構築され、設定可能な周期値PERと0との間でカウントしてもよい。幾つかの実施形態において、周期値PERが、PWM周期用のタイミングステップ数を定義するのに対して、クロック生成モジュール2060によってPWMタイマ2050に送られるクロック周波数fCLKは、各タイミングステップの長さ(例えばタイミング粒度)を定義する。実施形態によれば、図3A及び3Bに示されているようなセンターアラインPWMモード用のPWM周期Wは、約2×PER/fCLKである。図3A及び3Bの例において、PWMタイマ2050は、一PWM周期において、ゼロからPERにカウントアップし、次にPERからゼロにカウントダウンしてもよい。PWM制御モジュール(例えば2010、2020及び2030)は、それぞれ、PWMタイマ2050のカウンタ値を比較値と比較してもよい。幾つかの実施形態において、PWMタイマ2050のカウンタ値が、所定の範囲(例えば、プリセット比較値より大きいか、又はプリセット比較値より小さい)内にある場合に、電源スイッチ用のPWM制御信号は活性化され、そうでなければ不活性化される。プリセット比較値は、PWM制御信号の活性化される時間の長さに対応してもよく、その場合に、プリセット比較値はまた、デューティサイクルが比較値と呼ばれてもよい。比較値は、前の相電流測定の結果に基づいて、システム制御ユニットによって計算又は調整され、且つPWM制御モジュールに送信されてもよい。例えば、センターアラインPWMモードにおいて、比較値は、PER/2の近くで変動し、且つ測定された相電流値に基づいて調整される。
再び図10を参照すると、幾つかの実施形態において、シグマデルタ変調器2170及びシグマデルタ復調器2180は、モータ制御半導体チップなどの半導体デバイスに集積される。PWMタイマ2050、並びに制御モジュール2010、2020、2030及び2040もまた、半導体チップに集積されてもよい。他の幾つかの実施形態において、全ての三相のシグマデルタ変調器及びシグマデルタ復調器(例えば2170/2170’/2170’’、2180/2180’/2180’’)は、半導体チップに集積される。別の実施形態において、三相モータ回路2000の全てのコンポーネント又はモジュールは、分路抵抗器R、R及びRを除いて、半導体チップに集積される。更に別の実施形態において、三相モータ回路2000は、制御基板、例えばプリント回路基板(PCB)上に実現される。
図11及び12は、それぞれ、幾つかの実施形態に従って、シグマデルタアナログ/デジタル変換器(ADC)を動作させる方法の流れ図を示す。図11及び12に示されている実施形態の方法が、多くの可能な実施形態の方法の例であることを理解されたい。当業者は、多くの変形、代替及び修正を認識されよう。例えば、図11又は12に示されているような様々なステップは、追加され、除去され、取り替えられ、再整理され、且つ繰り返されてもよい。
図11を参照すると、ステップ3010において、アナログ入力信号は、シグマデルタADCのシグマデルタ変調器を用いて、デジタルデータシーケンスに変換される。ステップ3020において、シグマデルタADCのデシメーションフィルタ用の第1の構成が、測定窓の第1の条件に従って設定される。ステップ3030において、デジタルデータシーケンスは、デシメーションフィルタの低域通過フィルタ(LPF)を用いてフィルタリングされる。ステップ3040において、測定窓における変化に応じて、デシメーションフィルタ用の第2の構成が、測定窓の第2の条件に従って設定される。
図12は、シグマデルタADCを動作させる実施形態の方法を示す。特に、シグマデルタADCの構成及びモータシステムの測定用の構成を繰り返し設定又は更新ための方法が、本明細書で説明される。ステップ4010において、測定窓(例えば図9におけるMW1)が、開始遅延(例えば図9におけるSD)の終わりに開始される。シグマデルタADCの構成(例えば、LPF423用の次数K、デシメータ425用のデシメーションファクタD、利得調整モジュール430用の利得F及びオフセットOFFS)並びにモータシステムの測定用の構成(例えば開始遅延SD)は、所定の初期設定を用いるか、又は最後の繰り返しからの設定を現在の構成として用いてもよい。ステップ4020において、モータシステムの相電流が、例えば、シグマデルタADCの現在の構成及びモータシステムの測定用の現在の構成を用いて、1つ又は複数のシグマデルタADCによって測定される。ステップ4030において、PWM制御信号を生成するための新しいデューティサイクルが、測定された相電流値に基づいて計算される。ステップ4040において、利用可能な測定窓サイズが、新しいデューティサイクルに基づいて計算される。例えば、図2、3A及び3Bは、デューティサイクルと利用可能な測定窓サイズとの間の関係を示す。
ステップ4050において、測定窓サイズが更新される必要があるかどうかに関する決定がなされる。例えば、利用可能な測定窓サイズが、電流測定窓サイズより小さい場合に、測定窓サイズは、利用可能な測定窓サイズ内に収まるように更新される(例えば低減される)必要がある。反対に、利用可能な測定窓サイズが、電流測定窓サイズより大きい場合に、測定窓サイズは、シグマデルタADCの出力用に、より多くのビット分解能を提供するために更新され(例えば増加され)てもよい。別の例において、測定窓サイズは、例えば、利用可能な測定窓サイズが、ほぼ同じままであるか又はより大きな利用可能な測定窓サイズをたとえ備えていても、より多くのビット分解能が必要とされない場合に、同じままであってもよい。測定窓サイズが更新される必要があるかどうかに関する決定が、yesである場合に、ステップ4060を継続する。そうでなければ、ステップ4010に進む。ステップ4060において、シグマデルタADCの構成(例えばLPF423用の次数K、デシメータ425用のデシメーションファクタD、利得調整モジュール430用の利得F及びオフセットOFFS)用の適切な値が、最新の測定窓サイズに基づいて計算される。
ステップ4070において、モータシステムの測定用の構成(例えば開始遅延SD)用の適切な値が、最新の測定窓サイズに基づいて計算される。ステップ4080において、計算された値(例えばLPF423用の次数K、デシメータ425用のデシメーションファクタD、利得調整モジュール430用の利得F及びオフセットOFFS、並びに開始遅延SD)は、PWM周期の所定の時間に、例えば電流測定窓の終わりに、又はPWMタイマがゼロまでカウントした場合に、シグマデルタADCの構成及びモータシステムの測定用の構成を更新するために適用される。測定窓サイズが、同じままである場合に、シグマデルタADCの構成及びモータシステムの測定用の構成は、同じままでもよい。ステップ4080の後で、方法は、次の繰り返し用にステップ4010に戻る。
本開示の一般的な一態様は、シグマデルタアナログ/デジタル変換器(ADC)を動作させる方法であって、シグマデルタADCのシグマデルタ変調器を用いて、アナログ入力信号をデジタルデータシーケンスに変換することと、測定窓の第1の条件に従って、シグマデルタADCのデシメーションフィルタ用の第1の構成を設定することと、デシメーションフィルタの低域通過フィルタ(LPF)を用いて、デジタルデータシーケンスをフィルタリングすることと、測定窓における変化に応じ、測定窓の第2の条件に従って、デシメーションフィルタ用の第2の構成を設定することと、を含む方法を含む。
実装形態は、次の特徴の1つ又は複数を含んでもよい。幾つかの実施形態において、アナログ入力信号は、第2の時間間隔中の第2の信号レベルより大きい第1の時間間隔中の第1の信号レベルを有し、方法は、第1の信号レベルを第1のデジタル値に変換し、且つ第2の信号レベルを第2のデジタル値に変換することを更に含み、第1のデジタル値は、第2のデジタル値の第2のビット分解能より小さい第1のビット分解能を有する。方法は、幾つかの実施形態に従って、スケールファクタでデシメーションフィルタの出力をスケーリングすることを更に含み、第2の構成の設定は、スケールファクタを調整することを含み、シグマデルタADCの利得は、第1の構成と第2の構成との間でほぼ同じままである。実施形態において、測定窓の第1の条件は、測定窓用の第1の期間及びアナログ入力信号の信号レベルを含む。別の実施形態において、測定窓の第1の条件は、測定サイクル内に測定窓の第1の期間及び測定窓の第1の位置を含む。測定窓の第1の条件は、アナログ入力信号の信号レベルを更に含んでもよい。デジタルデータシーケンスは、第1のサンプリング周波数で取得されたデジタルサンプルを含んでもよく、第1の構成の設定は、LPFの次数(R)及びデシメーションフィルタのデシメーションレート(D)を設定することを含み、R×Dデジタルサンプルによって占められる時間間隔は、測定窓の第1の期間より小さい。フィルタリングは、測定窓の開始から所定の整定時間後に到着するデジタルデータシーケンスの部分をフィルタリングすることを含んでもよい。第1の構成の設定は、第1の所定のビット分解能が、LPFの出力において達成されるように、R及びDの組み合わせを選択することを含んでもよい。
幾つかの実施形態において、フィルタリングは、測定された相電流値を生成し、測定窓の変化は、測定された相電流値に基づいて、デューティサイクル値をすることと、デューティサイクルに基づいて、最新の測定窓サイズを計算することと、最新の測定窓サイズを測定窓の第1の期間と比較することと、を含むプロセスによって決定される。他の実施形態において、第2の構成の設定は、時間間隔が第2の期間より小さくなるように、第2の条件下で測定窓の第2の期間に従ってR及びDを修正することを含み、第2の所定のビット分解能は、LPFの出力において達成される。R及びDの修正は、測定サイクルにおける所定の時間に実行されてもよい。方法は、シグマデルタADCの利得が、第1の構成及び第2の構成でほぼ同じままであるように、スケールファクタによってデシメーションフィルタの出力をスケーリングし、それによって、スケーリングされた出力を生成し、第2の構成の設定でスケールファクタを調整することを更に含んでもよい。方法は、スケーリングされた出力にオフセット値を加算することを更に含んでもよい。方法は、スケーリングされた出力の数値範囲に従って、オフセット値を調整することを更に含んでもよい。
本開示の別の一般的な態様は、アナログ入力信号をデジタルデータシーケンスに変換するように構成されたシグマデルタ変調器と、デシメーションフィルタであって、フィルタ次数(R)を備えた低域通過フィルタ(LPF)であって、LPFが、デジタルデータシーケンスをフィルタリングするように構成された低域通過フィルタ(LPF)、及びデシメーションファクタ(D)を有するダウンサンプラであって、LPFの出力をダウンサンプリングするように構成されたダウンサンプラを含み、フィルタ次数(R)及びデシメーションファクタ(D)の少なくとも1つが調整可能であり、フィルタ次数(R)及びデシメーションレート(D)の少なくとも1つが、測定窓における変化に応じて調整されるように構成されるデシメーションフィルタと、を含むシグマデルタアナログ/デジタル変換器(ADC)を含む。
実装形態は、次の特徴の1つ又は複数を含んでもよい。実施形態において、シグマデルタ変調器は、測定窓の外側で省電力モードであるように構成される。様々な実施形態において、シグマデルタADCは、シグマデルタADCに結合されたコントローラを更に含んでもよく、コントローラは、デジタルデータシーケンスのR×Dサンプルによって占められる時間間隔が、シグマデルタADCの出力用の所定のビット精度を達成しながら、測定窓の期間より小さくなるように、測定窓における変化に応じてR及びDの少なくとも1つを調整するように構成される。シグマデルタADCは、調整可能なスケーリングファクタを備えたスケーリングユニットを更に含んでもよく、スケーリングユニットは、スケーリングファクタによってデシメーションフィルタの出力をスケーリングするように構成され、スケーリングファクタは、シグマデルタADCの総利得が、測定窓における変化の前後にほぼ同じままであるように、R及びDの少なくとも1つで調整されるように構成される。
本開示の別の一般的な態様は、ACモータを動作させる方法であって、ACモータの第1の相から第1のアナログ信号を、且つACモータの第2の相から第2のアナログ信号を受信することと、第1のシグマデルタアナログ/デジタル変換器(ADC)及び第2のシグマデルタADCを用いて、第1の受信アナログ信号を第1のデジタル値に変換し、第2の受信アナログ信号を第2のデジタル値にそれぞれ変換することと、測定窓に従って、第1のシグマデルタADC及び第2のシグマデルタADCのそれぞれにおけるシグマデルタデシメーションフィルタの構成を設定することと、測定窓における変化に応じて、シグマデルタデシメーションフィルタの構成を適応的に調整することと、を含む方法を含む。
実装形態は、次の特徴の1つ又は複数を含んでもよい。変換は、例えば、第1の受信アナログ信号及び第2の受信アナログ信号を並列に変換することを含む。幾つかの実施形態において、変換は、第1のシグマデルタADC及び第2のシグマデルタADCの対応する1つにおけるシグマデルタ変調器を用いて、第1の受信アナログ信号及び第2の受信アナログ信号のそれぞれをデジタル出力に変換することと、対応するシグマデルタデシメーションフィルタの低域通過フィルタ(LPF)を用いて、デジタル出力をフィルタリングすることと、を更に含む。適応的な調整は、第1のシグマデルタADC及び第2のシグマデルタADCのLPFの次数(R)及びオーバーサンプリングレート(OSR)の少なくとも1つを修正することを含んでもよい。第1のシグマデルタADC及び第2のシグマデルタADCのそれぞれにおけるシグマデルタ変調器は、サンプリングレート(f)を有してもよく、R×OSR/fによって決定された時間間隔は、測定窓の期間内に収まる。測定窓の変化は、測定窓の期間における変化を含んでもよく、適応的な調整は、所定のビット精度が第1のデジタル値及び第2のデジタル値用に達成されように、且つ時間間隔が、測定窓における変化後に測定窓の期間内に収まるように、R及びOSRの組み合わせを調整することを含む。
実施形態によれば、測定窓の変化は、測定サイクル内における測定窓の位置の変化を含み、適応的な調整は、測定窓の期間内における時間間隔の位置を調整することを含む。実施形態において、測定窓は、ACモータの第1のハーフブリッジにおける第1の分路抵抗器及びACモータの第2のハーフブリッジにおける第2の分路抵抗器を通って電流が流れる期間に対応する。他の実施形態において、測定窓の位置及び期間の少なくとも1つは、ACモータの相異なるトルク条件下で変化する。測定窓の期間は、ACモータのトルクが低下する場合に増加してもよく、測定窓の期間は、ACモータのトルクが増加する場合に低減してもよい。
幾つかの実施形態において、適応的な調整は、第1のシグマデルタADCの総利得及び第2のシグマデルタADCの総利得が、測定窓における変化の前後にほぼ同じままであるように、シグマデルタデシメーションフィルタの構成に従って、第1のシグマデルタADCのスケールファクタ及び第2のシグマデルタADCのスケールファクタを調整することを更に含む。実施形態において、方法は、第1のデジタル値及び第2のデジタル値に基づいて、ACモータの第1のハーフブリッジ及びACモータの第2のハーフブリッジにおける電流を制御することを更に含む。様々な実施形態において、方法は、ACモータの第3の相から第3のアナログ信号を受信することと、第3のシグマデルタADCを用いて、第3の受信アナログ信号を第3のデジタル値に変換することと、測定窓に従って、第3のシグマデルタADCのシグマデルタデシメーションフィルタの構成を設定することと、測定窓における変化に応じて、第3のシグマデルタADCのシグマデルタデシメーションフィルタの構成を適応的に調整することと、を更に含む。
本開示の別の態様によれば、回路は、ACモータの第1の相に結合された第1のシグマデルタ変調器から、第1のデジタルサンプルシーケンスを入力として受信するように構成された第1のシグマデルタ復調器、及びACモータの第2の相に結合された第2のシグマデルタ変調器から、第2のデジタルサンプルシーケンスを入力として受信するように構成された第2のシグマデルタ復調器であって、第1のシグマデルタ復調器及び第2のシグマデルタ復調器のそれぞれが、同じ入力データレートを有し、且つ低域通過フィルタ(LPF)及びダウンサンプラを含み、LPFの次数(R)及びダウンサンプラのデシメーションレート(D)が、再設定可能である第1のシグマデルタ復調器及び第2のシグマデルタ復調器と、ACモータの相異なる動作条件に従って、LPFの次数及びダウンサンプラのデシメーションレートを調整するように構成された制御モジュールと、を含む。
実装形態は、次の特徴の1つ又は複数を含んでもよい。幾つかの実施形態において、ACモータの測定窓の期間は、ACモータの相異なる動作条件に従って変化し、制御モジュールは、ACモータの相異なる動作条件下で、R×Dデジタルサンプルに対応する時間間隔が、測定窓の期間より小さくなるように、R及びDを調整するように構成される。回路は、第1のシグマデルタ復調器及び第2のシグマデルタ復調器のそれぞれのためのスケーリングユニットを更に含んでもよく、スケーリングユニットのスケーリングファクタは、再設定可能である。制御モジュールは、第1のシグマデルタ復調器及び第2のシグマデルタ復調器のそれぞれにおける総利得が、ACモータの相異なる動作条件下でほぼ同じままであるように、スケーリングファクタを修正するように構成されてもよい。測定窓の期間は、ACモータのトルクが増加する場合に、低減してもよく、測定窓の期間は、ACモータのトルクが低下する場合に、増加してもよい。
幾つかの実施形態によれば、回路は、第1のシグマデルタ変調器及び第2のシグマデルタ変調器を更に含む。回路は、ACモータを更に含んでもよい。第1のシグマデルタ変調器及び第1のシグマデルタ復調器は、半導体デバイスに集積されてもよい。第2のシグマデルタ変調器及び第2のシグマデルタ復調器もまた、半導体デバイスに集積されてもよい。実施形態において、回路は、ACモータの第3の相に結合された第3のシグマデルタ変調器から第3のデジタルサンプルシーケンスを入力として受信するように構成された第3のシグマデルタ復調器を更に含み、第3のシグマデルタ復調器は、第1のシグマデルタ復調器と同じ入力データを有し、且つ第3の低域通過フィルタ(LPF)及び第3のダウンサンプラを含み、第3のLPFの次数及び第3のダウンサンプラのデシメーションレートは、再設定可能であり、制御モジュールは、ACモータの相異なる動作条件に従って、第3のLPFの次数及び第3のダウンサンプラのデシメーションレートを調整するように構成される。
本発明における実施形態の利点は、改善された信号取得品質を含む。相異なる動作条件に応じて、シグマデルタADCの構成を適応的に調整することによって、デシメーションフィルタのスパンとADC出力のビット分解能との間のトレードオフを最適化することができる。モータ制御用途において、ADCの構成を適応的に調整することによって、高ビット分解能ADC出力が、低トルク動作条件下で正確なモータ制御用に取得され得、LPFフィルタの小スパンが、高トルク動作条件下で小さな測定窓に対応するために達成される。シグマデルタADCが、非常に低い信号振幅(例えば約100mV)を備えたアナログ信号を入力として受信できるので、シグマデルタADCは、増幅器が分路電圧を増幅する必要なしに、分路抵抗器に直接結合することができる。これは、増幅器用の帯域幅、ノイズ及び共通モード阻止要件を考慮すると高くなり得る、増幅器に関連するコストを節約する。加えて、増幅器が、測定窓サイズを低減する整定時間を必要とするので、今開示されている方法は、増幅器を用いないことによって、より大きな測定窓サイズを提供することができる。実施形態の方法の別の利点は、シグマデルタADC用のほぼ一定の利得を含み、それは、デシメーションフィルタの構成の調整と共に、シグマデルタADCの利得調整モジュールのスケーリングファクタを適応的に調整することによって取得される。ADCのほぼ一定の利得係数は、他のモジュールが、ADCの内部構成を知る必要なしに、ADCからの測定値を用いることをより簡単にする。例えば、制御ループは、ADCのデシメーションフィルタの相異なる構成によって引き起こされる相異なる利得係数を補償する必要なしに、ADC出力値を監視し、制御判定を行うことができる。
本発明は、主として特定の例示的な実施形態に関連して図示され説明されたが、構成及びその詳細における種々の変化が、以下の特許請求の範囲によって定義される本発明の本質及び範囲から逸脱せずになされ得ることを、当業者は理解されたい。従って、本発明の範囲は、添付の特許請求の範囲によって決定され、特許請求の範囲における意味の範囲及び均等物の範囲内にある全ての変更が、特許請求の範囲に包含されることが意図されている。
100 モータシステム
101 ACモータ
110、120、130 導電経路
140 ADCモジュール
143 データ経路
145 制御経路
150 PWMモジュール
400 シグマデルタADC
410 シグマデルタ変調器
413 入力端子
415 出力
417 データ経路
419 出力値
420 デシメーションフィルタ
423 LPF
425 ダウンサンプラ
430 利得調整モジュール
440 構成モジュール
450 外部インターフェース
610 デシメータ
620、620’、620’’ 積分器
630、630’、630’’ 微分器
640、650 マルチプレクサ
660、660’、670 制御信号
800 デシメーションフィルタ
1010、1020、1030、1040、1050 波形
2000 三相モータ回路
2010、2020、2030、2040 制御モジュール
2050 PWMタイマ
2060 クロック生成モジュール
2160、2160’、2160’’ デジタルサンプルストリーム
2170、2170’、2170’’ シグマデルタ変調器
2180、2180’、2180’’ シグマデルタ復調器

Claims (37)

  1. シグマデルタアナログ/デジタル変換器(ADC)を動作させる方法であって、
    前記シグマデルタADCのシグマデルタ変調器を用いて、アナログ入力信号をデジタルデータシーケンスに変換することと、
    測定窓の第1の条件であって、測定サイクル内における前記測定窓の第1の期間及び前記測定窓の第1の位置を含む、第1の条件に従って、前記シグマデルタADCのデシメーションフィルタ用の第1の構成を設定することと、
    前記デシメーションフィルタの低域通過フィルタ(LPF)を用いて、前記デジタルデータシーケンスをフィルタリングすることと、
    前記測定窓における変化に応じ、前記測定窓の第2の条件であって、測定サイクル内における前記測定窓の第2の期間及び前記測定窓の第2の位置を含む、第2の条件に従って、前記デシメーションフィルタ用の第2の構成を設定することと、
    を含む方法。
  2. 前記アナログ入力信号が、第2の時間間隔中の第2の信号レベルより大きい、第1の時間間隔中の第1の信号レベルを有し、
    前記方法が、前記第1の条件に対応する前記第1の信号レベルを第1のデジタル値に変換し、且つ前記第2の条件に対応する前記第2の信号レベルを第2のデジタル値に変換することを更に含み、
    前記第1のデジタル値が、前記第2のデジタル値の第2のビット分解能より小さい第1のビット分解能を有する、請求項1に記載の方法。
  3. スケールファクタで前記デシメーションフィルタの出力をスケーリングすることを更に含み、前記第2の構成の設定が、前記スケールファクタを調整することを含み、前記シグマデルタADCの利得が、前記第1の構成と前記第2の構成との間でほぼ同じままである、請求項2に記載の方法。
  4. 前記測定窓の前記第1の条件が、前記アナログ入力信号の信号レベルを更に含む、請求項1に記載の方法。

  5. 前記デジタルデータシーケンスが、第1のサンプリング周波数で取得されたデジタルサンプルを含み、前記第1の構成の前記設定が、前記LPFの次数(R)及び前記デシメーションフィルタのデシメーションレート(D)を設定することを含み、R×Dデジタルサンプルによって占められる時間間隔が、前記測定窓の前記第1の期間より小さい、請求項に記載の方法。
  6. 前記フィルタリングが、前記測定窓の開始から所定の整定時間後に到着する前記デジタルデータシーケンスの部分をフィルタリングすることを含む、請求項に記載の方法。
  7. 第1の所定のビット分解能が、前記LPFの出力において達成されるように、前記第1の構成の前記設定が、前記次数(及び前記デシメーションレート(の組み合わせを選択することを含む、請求項に記載の方法。
  8. 前記フィルタリングが、測定された相電流値を生成し、前記測定窓の前記変化が、
    前記測定された相電流値に基づいて、デューティサイクル値を計算することと、
    前記デューティサイクルに基づいて、最新の測定窓サイズを計算することと、
    前記最新の測定窓サイズを前記測定窓の前記第1の期間と比較することと、
    を含むプロセスによって決定される、請求項に記載の方法。
  9. 前記時間間隔が、第2の期間より小さく、且つ第2の所定のビット分解能が、前記LPFの前記出力において達成されるように、前記第2の構成の前記設定が、前記第2の条件下で前記測定窓の第2の期間に従って、前記次数(及び前記デシメーションレート(を修正することを含む、請求項に記載の方法。
  10. 前記次数(及び前記デシメーションレート(の前記修正が、測定サイクルにおける所定の時間に実行される、請求項に記載の方法。
  11. スケールファクタによって前記デシメーションフィルタの出力をスケーリングし、それによって、スケーリングされた出力を生成することと、
    前記シグマデルタADCの利得が、前記第1の構成及び前記第2の構成に対しほぼ同じままであるように、前記第2の構成の前記設定を用いて前記スケールファクタを調整することと、
    を更に含む、請求項に記載の方法。
  12. オフセット値を前記スケーリングされた出力に加算することを更に含む、請求項11に記載の方法。
  13. 前記スケーリングされた出力の数値範囲に従って、前記オフセット値を調整することを更に含む、請求項12に記載の方法。
  14. シグマデルタアナログ/デジタル変換器(ADC)であって、
    アナログ入力信号をデジタルデータシーケンスに変換し、測定窓の外側で省電力モードであるように構成されたシグマデルタ変調器と、
    デシメーションフィルタであって、
    フィルタ次数(R)を備えた低域通過フィルタ(LPF)であって、前記デジタルデータシーケンスをフィルタリングするように構成された低域通過フィルタ(LPF)と、
    デシメーションファクタ(D)を有するダウンサンプラであって、前記LPFの出力をダウンサンプリングするように構成されたダウンサンプラと、を含み、前記フィルタ次数(R)及び前記デシメーションファクタ(D)の少なくとも1つが、調整可能であり、前記フィルタ次数(R)及び前記デシメーションファクタ(D)の少なくとも1つが、測定窓の期間、測定サイクル内の測定窓の位置、及び測定窓におけるアナログ入力信号の信号レベルのうち少なくとも1つの変化に応じて調整されるように構成されるデシメーションフィルタと、
    を含むシグマデルタアナログ/デジタル変換器(ADC)。
  15. 前記シグマデルタADCに結合されたコントローラを更に含み、前記コントローラは、前記デジタルデータシーケンスのR×Dサンプルによって占められる時間間隔が、前記シグマデルタADCの出力用の所定のビット精度を達成しながら、前記測定窓の期間より小さくなるように、前記測定窓における前記変化に応じて前記フィルタ次数(及び前記デシメーションファクタ(の少なくとも1つを調整するように構成される、請求項14に記載のシグマデルタADC。
  16. 調整可能なスケーリングファクタを備えたスケーリングユニットであって、前記スケーリングユニットが、前記スケーリングファクタによって前記デシメーションフィルタの出力をスケーリングするように構成され、前記スケーリングファクタが、前記測定窓における前記変化の前後における前記シグマデルタADCの総利得がほぼ同じままであるように、前記フィルタ次数(及び前記デシメーションファクタ(の少なくとも1つで調整されるように構成されるスケーリングユニットを更に含む、請求項15に記載のシグマデルタADC。
  17. ACモータを動作させる方法であって、
    ACモータの第1の相から第1のアナログ信号を、且つ前記ACモータの第2の相から第2のアナログ信号を受信することと、
    第1のシグマデルタアナログ/デジタル変換器(ADC)及び第2のシグマデルタADCを用いて、受信した前記第1のアナログ信号を第1のデジタル値に変換し、受信した前記第2のアナログ信号を第2のデジタル値にそれぞれ変換することと、
    測定窓に従って、前記第1のシグマデルタADC及び前記第2のシグマデルタADCのそれぞれにおけるシグマデルタデシメーションフィルタの構成を設定することと、
    測定窓の期間、測定サイクル内の測定窓の位置、及び測定窓におけるアナログ入力信号の信号レベルのうち少なくとも1つにおける変化に応じて、前記シグマデルタデシメーションフィルタの前記構成を適応的に調整することと、
    を含む方法。
  18. 前記変換が、受信した前記第1のアナログ信号及び受信した前記第2のアナログ信号を並列に変換することを含む、請求項17に記載の方法。
  19. 前記変換が、
    前記第1のシグマデルタADC及び前記第2のシグマデルタADCの対応する1つにおけるシグマデルタ変調器を用いて、受信した前記第1のアナログ信号及び受信した前記第2のアナログ信号のそれぞれをデジタル出力に変換することと、
    対応するシグマデルタデシメーションフィルタの低域通過フィルタ(LPF)を用いて、前記デジタル出力をフィルタリングすることと、
    を更に含む、請求項17に記載の方法。
  20. 前記適応的な調整が、前記第1のシグマデルタADC及び前記第2のシグマデルタADCの前記LPFの次数(R)、及びオーバーサンプリングレート(OSR)の少なくとも1つを修正することを含む、請求項19に記載の方法。
  21. 前記第1のシグマデルタADC及び前記第2のシグマデルタADCのそれぞれにおける前記シグマデルタ変調器が、サンプリングレート(f)を有し、R×OSR/fによって決定された時間間隔が、前記測定窓の期間内に収まる、請求項20に記載の方法。
  22. 前記測定窓における前記変化が、前記測定窓の前記期間における変化を含み、前記適応的な調整が、前記第1のデジタル値及び前記第2のデジタル値用に所定のビット精度が達成されるように、且つ前記測定窓における前記変化後に前記時間間隔が前記測定窓の期間内に収まるように、R及びOSRの組み合わせを調整することを含む、請求項21に記載の方法。
  23. 前記測定窓の前記変化が、測定サイクル内の前記測定窓の位置の変化を含み、前記適応的な調整が、前記測定窓の前記期間内における前記時間間隔の位置を調整することを更に含む、請求項21に記載の方法。
  24. 前記測定窓が、前記ACモータの第1のハーフブリッジにおける第1の分路抵抗器、及び前記ACモータの第2のハーフブリッジにおける第2の分路抵抗器を通って電流が流れる期間に対応する、請求項17に記載の方法。
  25. 前記測定窓の位置及び期間の少なくとも1つが、前記ACモータの相異なるトルク条件下で変化し、前記測定窓の前記期間が、前記ACモータの前記トルクが低下する場合に増加し、前記測定窓の前記期間が、前記ACモータの前記トルクが増加する場合に低下する、請求項17に記載の方法。
  26. 前記適応的な調整が、
    前記第1のシグマデルタADCの総利得及び前記第2のシグマデルタADCの総利得が、前記測定窓における前記変化の前後でほぼ同じままであるように、前記シグマデルタデシメーションフィルタの前記構成に従って、前記第1のシグマデルタADCのスケールファクタ及び前記第2のシグマデルタADCのスケールファクタを調整することを更に含む、請求項17に記載の方法。
  27. 前記第1のデジタル値及び前記第2のデジタル値に基づいて、前記ACモータの第1のハーフブリッジ及び前記ACモータの第2のハーフブリッジにおける電流を制御することを更に含む、請求項26に記載の方法。
  28. 前記ACモータの第3の相から第3のアナログ信号を受信することと、
    第3のシグマデルタADCを用いて、受信した前記第3のアナログ信号を第3のデジタル値に変換することと、
    前記測定窓に従って、前記第3のシグマデルタADCのシグマデルタデシメーションフィルタの構成を設定することと、
    前記測定窓における変化に応じて、前記第3のシグマデルタADCの前記シグマデルタデシメーションフィルタの前記構成を適応的に調整することと、
    を更に含む、請求項17に記載の方法。
  29. ACモータの第1の相に結合された第1のシグマデルタ変調器から、第1のデジタルサンプルシーケンスを入力として受信するように構成された第1のデシメーションフィルタ及び
    前記ACモータの第2の相に結合された第2のシグマデルタ変調器から、第2のデジタルサンプルシーケンスを入力として受信するように構成された第2のデシメーションフィルタであって、
    前記第1のデシメーションフィルタ及び前記第2のデシメーションフィルタのそれぞれが、同じ入力データレートを有し、且つ低域通過フィルタ(LPF)及びダウンサンプラを含み、前記LPFの次数(R)及び前記ダウンサンプラのデシメーションレート(D)が、再設定可能である第1のデシメーションフィルタ及び第2のデシメーションフィルタと、
    前記ACモータの相異なる動作条件に従って、前記LPFの前記次数(R)及び前記ダウンサンプラの前記デシメーションレート(D)を調整するように構成された制御モジュールと、
    を含み、
    前記ACモータの測定窓の期間が、前記ACモータの前記相異なる動作条件に従って変化し、前記制御モジュールが、前記ACモータの前記相異なる動作条件下で前記次数(R)と前記デシメーションレート(D)との積に対応するデジタルサンプルの数に対応する時間間隔が前記測定窓の期間より小さくなるように、前記LPFの次数(R)及び前記デシメーションレート(D)を調整するように構成される、回路。
  30. 前記第1のデシメーションフィルタ及び前記第2のデシメーションフィルタのそれぞれのためのスケーリングユニットを更に含み、前記スケーリングユニットのスケーリングファクタが、再設定可能である、請求項29に記載の回路。
  31. 前記第1のデシメーションフィルタ及び前記第2のデシメーションフィルタのそれぞれにおける総利得が、前記ACモータの前記相異なる動作条件下でほぼ同じままであるように、前記制御モジュールが、前記スケーリングファクタを修正するように構成される、請求項30に記載の回路。
  32. 前記測定窓の前記期間が、前記ACモータのトルクが増加する場合に低下し、前記測定窓の前記期間が、前記ACモータのトルクが低下する場合に増加する、請求項31に記載の回路。
  33. 前記第1のシグマデルタ変調器及び前記第2のシグマデルタ変調器を更に含む、請求項29に記載の回路。
  34. 前記ACモータを更に含む、請求項33に記載の回路。
  35. 前記第1のシグマデルタ変調器及び前記第1のデシメーションフィルタが、半導体デバイスに集積される、請求項33に記載の回路。
  36. 前記第2のシグマデルタ変調器及び前記第2のデシメーションフィルタが、前記半導体デバイスに集積される、請求項35に記載の回路。
  37. 前記ACモータの第3の相に結合された第3のシグマデルタ変調器から第3のデジタルサンプルシーケンスを入力として受信するように構成された第3のデシメーションフィルタであって、前記第3のデシメーションフィルタが、前記第1のデシメーションフィルタと同じ入力データレートを有し、且つ第3の低域通過フィルタ(LPF)及び第3のダウンサンプラを含み、前記第3のLPFの次数及び前記第3のダウンサンプラのデシメーションレートが、再設定可能であり、前記制御モジュールが、前記ACモータの相異なる動作条件に従って、前記第3のLPFの前記次数及び前記第3のダウンサンプラの前記デシメーションレートを調整するように構成される第3のデシメーションフィルタを更に含む、請求項29に記載の回路。
JP2017157948A 2016-08-19 2017-08-18 アナログ/デジタル変換用のシステム及び方法 Active JP6599943B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/242,265 2016-08-19
US15/242,265 US9742430B1 (en) 2016-08-19 2016-08-19 System and method for analog to digital conversion

Publications (2)

Publication Number Publication Date
JP2018067909A JP2018067909A (ja) 2018-04-26
JP6599943B2 true JP6599943B2 (ja) 2019-10-30

Family

ID=59581577

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017157948A Active JP6599943B2 (ja) 2016-08-19 2017-08-18 アナログ/デジタル変換用のシステム及び方法

Country Status (4)

Country Link
US (1) US9742430B1 (ja)
JP (1) JP6599943B2 (ja)
CN (1) CN107769780B (ja)
DE (1) DE102017118961A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109644004B (zh) * 2017-08-08 2023-05-26 深圳市汇顶科技股份有限公司 转换模块以及转换电路
US10348326B2 (en) * 2017-10-23 2019-07-09 Infineon Technologies Ag Digital silicon microphone with interpolation
US10742155B2 (en) * 2018-03-19 2020-08-11 Tula eTechnology, Inc. Pulsed electric machine control
CN109347395A (zh) * 2018-12-04 2019-02-15 东莞稳控智能技术有限公司 伺服系统电流采样可变阶数sinc滤波的方法和结构
CN110108352B (zh) * 2019-05-10 2021-12-14 上海岚盒信息技术有限公司 振动巡检仪及其低功耗测量方法、计算机可读存储介质
DE102020108928A1 (de) 2020-03-31 2021-09-30 Infineon Technologies Ag Vorrichtung mit einem Sensor, Steuerung und entsprechende Verfahren
US11373678B2 (en) * 2020-09-17 2022-06-28 Western Digital Technologies, Inc. Data storage device using windowed delta-sigma analog-to-digital converter in digital current control loop
CN113702695B (zh) * 2021-09-06 2024-04-30 上海新纪元机器人有限公司 一种σδ型adc电流采样控制方法和装置
JPWO2023032472A1 (ja) * 2021-09-06 2023-03-09

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6538588B1 (en) * 2000-09-18 2003-03-25 Qualcomm, Incorporated Multi-sampling Σ-Δ analog-to-digital converter
US8462030B2 (en) * 2004-04-27 2013-06-11 Texas Instruments Incorporated Programmable loop filter for use with a sigma delta analog-to-digital converter and method of programming the same
US8417750B2 (en) * 2004-10-13 2013-04-09 Mediatek Inc. Filters for communication systems
US20080298519A1 (en) * 2005-11-21 2008-12-04 Fujitsu Ten Limited Receiver Device
WO2007066275A1 (en) * 2005-12-06 2007-06-14 Nxp B.V. Analog-to-digital converter of the sigma delta type
US7856464B2 (en) * 2006-02-16 2010-12-21 Sigmatel, Inc. Decimation filter
US9378751B2 (en) * 2008-06-19 2016-06-28 Broadcom Corporation Method and system for digital gain processing in a hardware audio CODEC for audio transmission
US7821437B1 (en) * 2009-04-08 2010-10-26 Rosemount Inc. Line noise analysis and detection and measurement error reduction
JP5812774B2 (ja) * 2011-09-08 2015-11-17 ルネサスエレクトロニクス株式会社 半導体装置
CN102571092B (zh) * 2012-01-20 2016-05-11 钜泉光电科技(上海)股份有限公司 适用于零偏置输入的电能计量芯片的adc电路
US8855181B2 (en) * 2012-02-24 2014-10-07 Infineon Technologies Ag Method and system for compensating a delay mismatch between a first measurement channel and a second measurement channel
JP5351304B2 (ja) * 2012-04-19 2013-11-27 ファナック株式会社 Δς変調型ad変換器を有するモータ制御装置
US8659455B2 (en) * 2012-05-30 2014-02-25 Infineon Technologies Ag System and method for operating an analog to digital converter
CN104168022B (zh) * 2014-08-08 2018-04-03 复旦大学 一种基于离散时间增量型σδadc的x射线ccd读出系统
US9424626B2 (en) * 2014-09-25 2016-08-23 Sony Corporation Sigma-delta ADC with integrated raw image scaling filter
WO2016051741A1 (ja) * 2014-09-30 2016-04-07 パナソニックIpマネジメント株式会社 モータ制御装置およびモータ制御方法
CN105553487A (zh) * 2015-12-10 2016-05-04 中国航空工业集团公司西安航空计算技术研究所 一种基于软件无线电的机载导航通信处理模块

Also Published As

Publication number Publication date
JP2018067909A (ja) 2018-04-26
CN107769780B (zh) 2021-04-30
CN107769780A (zh) 2018-03-06
US9742430B1 (en) 2017-08-22
DE102017118961A1 (de) 2018-02-22

Similar Documents

Publication Publication Date Title
JP6599943B2 (ja) アナログ/デジタル変換用のシステム及び方法
TWI427935B (zh) 在sigma-delta類比-數位轉換器中產生回饋信號之方法及裝置
JP4542839B2 (ja) 動的多位相動作
Sorensen σδ-conversion used for motor control
EP1137162A1 (en) Analog/digital PWM control circuit of a winding
JP2005537770A (ja) 交流モータの相電流検出回路の入力フィルタ
JP5282412B2 (ja) リップル検出装置
KR20150016301A (ko) 조절 가능 루프 필터 특성들을 갖는 클래스 d 오디오 증폭기
JP3624186B2 (ja) スイッチング電源装置用の制御回路及びこれを用いたスイッチング電源装置
TWI605722B (zh) 揚聲器的直流阻抗檢測方法及電路
EP2964510B1 (en) Motor control for electric power assisted steering systems
JP2004309386A (ja) 電流検出装置
US10574258B1 (en) Open pin detection for analog-to-digital converter
CN111555689B (zh) 一种基于卡尔曼滤波的相电流过采样系统及方法
JPH0715972A (ja) インバータ制御装置
WO2006034237A1 (en) Digital pulse width modulated controller
JP2022525077A (ja) 電力フィードバックループを用いるモータ制御装置
EP2068440B1 (en) Pulse width modulation circuit and switching amplifier using the same
JP7108231B2 (ja) 回転角度検出装置及び電動機駆動システム
Anuchin et al. Increasing current loop performance using variable accuracy feedback for GaN inverters
JP2003009585A (ja) 直流モータの回転状態検出装置
US10985763B2 (en) Square wave-to-sine wave converter
CN109565249B (zh) 用于根据模拟信号生成数字信号的方法以及变频器
US20210305997A1 (en) Device comprising a sensor, controller and corresponding methods
JP6509030B2 (ja) 電流検出器

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170821

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190806

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191003

R150 Certificate of patent or registration of utility model

Ref document number: 6599943

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250