JP5160238B2 - n−FET用途のためのHfSiN金属を形成する方法 - Google Patents

n−FET用途のためのHfSiN金属を形成する方法 Download PDF

Info

Publication number
JP5160238B2
JP5160238B2 JP2007551254A JP2007551254A JP5160238B2 JP 5160238 B2 JP5160238 B2 JP 5160238B2 JP 2007551254 A JP2007551254 A JP 2007551254A JP 2007551254 A JP2007551254 A JP 2007551254A JP 5160238 B2 JP5160238 B2 JP 5160238B2
Authority
JP
Japan
Prior art keywords
hfsin
stack
dielectric
gate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007551254A
Other languages
English (en)
Other versions
JP2008530770A5 (ja
JP2008530770A (ja
Inventor
コールガリ、アレッサンドロ、シー
フランク、マーティン、エム
ジャミー、ラージャラオ
レーシー、ダイアン、エル
マクフィーリー、フェントン、アール
ザファー、スーフィー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008530770A publication Critical patent/JP2008530770A/ja
Publication of JP2008530770A5 publication Critical patent/JP2008530770A5/ja
Application granted granted Critical
Publication of JP5160238B2 publication Critical patent/JP5160238B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Description

本発明は、半導体デバイス、及びこれを製造する方法に関する。より具体的には、本発明は、高誘電率k/界面層のスタック上の、熱的に安定したn型金属を含む相補型金属酸化膜半導体(CMOS)に関する。本発明はまた、CMOS処理の流れと統合することができる、熱的に安定したn型金属を形成するプロセスも提供する。
標準的なCMOSデバイスにおいては、一般に、ポリシリコンが、標準的なゲート材料である。ポリシリコン・ゲートを用いてCMOSデバイスを製造する技術は、絶えず発展した状態にあり、現在、半導体産業において広く用いられている。ポリシリコン・ゲートを用いる1つの利点は、これらが高温に耐え得ることである。しかしながら、ポリシリコン・ゲートの使用と関連した幾つかの問題もある。例えば、ポリ空乏効果及び相対的に高い電気シート抵抗(約150オーム/スクェア)のために、CMOSデバイスにおいて一般的に使用されるポリSiゲートは、0.1ミクロン以下のチャネル長についての、チップ性能のゲート要因になりつつある。ポリSiゲートに関連する別の問題は、ボロンのようなポリSiゲート内のドーパントが、薄いゲート誘電体を通って容易に拡散し、デバイス性能をさらに低下させ得ることである。
ポリSiゲートに関連した問題を回避するために、ポリSiゲートを単一の金属と置き換えることが提案された。高k誘電体を用いるCMOS技術のために、異なる仕事関数(pFETについての仕事関数とnFETについての仕事関数)を有する金属が必要とされる。金属/高k誘電体スタックに、ソース/ドレインの自己整合された注入の活性化のために必要とされる(約1000℃のオーダーの)高温アニールを施す必要がある。ゲート・スタックの反応は、材料の選択を制限するこの高温アニール中に生じる。例えば、W及び高k誘電体を含むゲート・スタックにおいて、SiOの再成長は、反転層のスケーラビリティを制限する界面で行われる。例えば、非特許文献1を参照されたい。
金属化合物は、より安定したものにしてもよいが、依然として適切な仕事関数を目標とすることに関する問題がある。例えば、nFETの候補としてTaSiNが提案されたが、依然としてその仕事関数に関する幾つかの問題が存在し、nFETデバイスにおいて移動度の減少が観察される。さらに、TaSiNを用いると、反転層厚のスケーラビリティが幾分制限されるように見える。
A.Callegari他、IEDM2004、p.825、S.Francisco Ca.,2004年12月13日―15日 S.M.Sze、Physics of Semiconductor Devaices、第2版、395−397ページ、J.Wiley&Sons
上記を鑑みて、高k誘電体を含むゲート・スタック上に熱的に安定した新しい金属化合物を提供する必要性がある。特定的には、nFETデバイスにおいて有用な新しい金属化合物を提供する必要性がある。
本発明は、高k誘電体及び界面層を含むゲート・スタック上に、約3.7eVから約4.5eVまで、好ましくは約4.0eVの仕事関数を有する、熱的に安定したn型金属であるHfSiNを含む新しい金属化合物を提供するものである。さらに、(約1000℃のオーダーの)高温で、HfSiN/高k誘電体/界面層のスタックをアニールした後、界面層が減少され、よって、ゲート・スタックは、TaSiNを用いて達成することができない、非常に薄い等価の酸化物厚(伝統的には、12Å)をもたらす。
大まかに言うと、本発明は、
半導体基板と、
半導体基板上に配置された界面層と、
界面層上に配置された高k誘電体と、
高k誘電体上に配置されたHfSiNゲート金属と
を含む、半導体構造体、即ち薄膜スタックを提供する。
さらに、本発明は、
半導体基板と、
少なくとも、基板の一部に配置された界面層、界面層上に配置された高k誘電体及び高ゲート誘電体上に配置されたHfSiN金属を含む、パターン形成されたゲート領域と
を含む、半導体構造体を提供する。
上述の薄膜スタック及び半導体構造体に加えて、本発明は、
Hfターゲットと、Ar/N/Heで希釈されたSi源を含む雰囲気とを準備するステップと、
前述の雰囲気中でHfターゲットからHfSiN膜をスパッタリングするステップと
を含む、HfSiN金属化合物を製造する方法も提供する。
本発明によると、Si源の活性度を制限する、Heで希釈されたSi源は、膜の品質を向上させる。HfSiN膜の抵抗率は、プロセス・ガスの濃度によって変わり得る。一般的に、窒素濃度及び/又はSi濃度が高いほど、抵抗率が高くなる。
本発明はまた、HfSiN膜を形成するために本発明のプロセスを用いる、上述の半導体構造体を製造する方法も提供する。一般論として、最初に、基板の表面上に高k誘電体及び界面層を含むスタックを提供し、その後、上述した処理ステップを用いてスタック上にHfSiN膜を形成することによって、即ち、Hfターゲットと、Ar/N/Heで希釈されたSi源を含む雰囲気とを提供し、雰囲気中でHfターゲットからHfSiN膜をスパッタリングすることによって、半導体構造体が形成される。
本発明の幾つかの実施形態においては、HfSiN金属ゲートは、ゲート電極として単独で、又はその上面にシリサイド・コンタクトを含むSi含有ゲート電極と併せて、用いることができる。後者の構造体は、ここでは、デュアル・ポリSi/HfSiN含有FETと呼ばれる。
高k誘電体という用語は、本出願の全体を通じて、その誘電率kが、例えば4.0より大きいといった、SiOよりも大きい絶縁体材料を示すように用いられることが留意される。高k誘電体は、約7.0又はそれより大きいkを有することが好ましい。
「界面層」という用語は、本出願の全体を通じて、例えばSiO及びSiONを含む、少なくともSi原子又はO原子を含む絶縁体材料を示すように用いられる。
ここで、高k誘電体及び界面層を含むスタック上の、熱的に安定したn−金属ゲートとして用い得るHfSiN金属化合物と、これを製造する方法とを提供する本発明が、本出願に添付される図面を参照することによって、より詳細に説明される。本発明の図面は、説明のために提供されるものであり、よって、これらの図面は縮尺通りに描かれていないことが留意される。
最初に、高k誘電体及び界面層を含むスタック上に本発明のHfSiN金属化合物を含む薄膜スタック構造体を形成する際に用いられる基本的な処理ステップを示す、図1(A)−図1(C)を参照する。図1(A)は、半導体基板10の表面上に界面層12を含む、最初の薄膜スタック構造体を示す。
本発明に用いられる半導体基板10は、これらに限られないが、Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP及び他の全てのIV/IV族、III/V族又はII/VI族化合物半導体を含む、いずれかの半導体材料からなる。半導体基板10はまた、有機半導体、或いはSi/SiGe、シリコン・オン・インシュレータ(silicon-on-insulator、SOI)、又はSiGeオン・インシュレータ(SiGe-on-insulator、SGOI)などの層状半導体を含むこともできる。本発明の幾つかの実施形態においては、半導体基板10が、Si含有半導体材料、即ちシリコンを含む半導体材料からなることが好ましい。半導体基板10は、ドープされたものであってもよく、ドープされていないものであってもよく、或いは内部にドープ領域と非ドープ領域とを含むものであってもよい。
半導体基板10はまた、第1のドープ(n−又はp−)領域及び第2のドープ(n−又はp−)領域を含むこともできる。明確にするために、本出願の図面中にドープ領域は具体的に示されていない。第1のドープ領域及び第2のドープ領域は、同じものであってもよく、又はそれらが異なる導電率及び/又はドーピング濃度を有するものであってもよい。これらのドープ領域は、「ウェル」として知られている。半導体基板10は、歪ませてもよく、歪ませなくてもよく、或いは、各々が内部に歪み領域と非歪み領域とを含んでもよい。さらに、半導体基板10は、例えば、100、110、111、又はこれらの組み合わせを含む、任意の結晶配向を有することができる。代替的に、半導体基板10は、異なる結晶配向の少なくとも2つの平坦な面を含むハイブリッド基板とすることができる。
次いで、少なくとも1つの分離領域(図示せず)が、一般的には、半導体基板10内に形成される。分離領域は、トレンチ分離領域又はフィールド酸化物分離領域とすることができる。トレンチ分離領域は、当業者に公知の従来のトレンチ分離プロセスを用いて形成される。例えば、トレンチ分離領域を形成するのに、リソグラフィ、エッチング、及びトレンチ誘電体によるトレンチの充填を用いることができる。随意的に、トレンチの充填前に、トレンチ内にライナを形成することができ、トレンチの充填後に緻密化ステップを行うことができ、トレンチの充填に続いて平坦化プロセスを行うこともできる。フィールド酸化物は、いわゆる局所的なシリコン酸化プロセスを用いて形成することができる。少なくとも1つの分離領域が、典型的には、隣接するゲートが反対の導電性をもつときに必要とされる分離を、隣接するゲート領域間に与えることに留意されたい。隣接するゲート領域は、同じ導電性(即ち、両方がn型又はp型)をもつことができ、又は代替的に、それらが異なる導電性(即ち、一方がn型で他方がp型)をもつこともできる。
次いで、界面層12が、酸化又は酸窒化のような熱プロセス、化学気相堆積(CVD)、プラズマ強化CVD、原子層堆積(ALD)、蒸着、スパッタリング及び化学溶液堆積、又はこれらの組み合わせのような堆積プロセスを用いて、半導体基板10の表面の上に形成される。代替的に、堆積プロセス及び窒化を用いて、界面層12を形成することができる。界面層12は、少なくともSi原子及びO原子を含み、N原子は随意的なものである。したがって、界面層12は、SiO、SiON、これらのシリケート、又はこれらの多層構造を含むことができる。幾つかの実施形態において、界面層12はSiOを含み、他の実施形態において、界面層12はSiONを含む。界面層12は、約1原子百分率から約80原子百分率まで、典型的には約1原子百分率から約30原子百分率までのSiを含む。残りはO及び/又はNである。Siは、界面層12の全体にわたって恒常的に存在することができ、又はSiを勾配付けすることができる。
界面層12は、典型的には、約4.0から約20までの誘電率を有し、約4.5から約18までの誘電率がさらにより典型的である。界面層12は、典型的には、約0.1nmから約5nmまでの厚さを有し、約0.2nmから約2.5nmまでの厚さがより典型的である。
次に、図1(B)に示されるように、高k誘電体14が、界面層12の表面上に形成される。「高k」という用語は、誘電率が、4.0より大きい、典型的には約7.0又はそれより大きい絶縁体を示す。高k誘電体14は、例えば、酸化、窒化、又は酸窒化のような熱成長プロセスによって形成することができる。代替的には、高k誘電体14は、例えば、化学気相堆積(CVD)、プラズマ強化CVD、金属・有機化学気相堆積(MOCVD)、原子層堆積(ALD)、蒸着、反応性スパッタリング、化学溶液堆積及び他の同様の堆積プロセスのような堆積プロセスによって形成することができる。誘電体14はまた、上記プロセスのいずれかの組み合わせを用いて形成することもできる。
例証として、本発明に用いられる高k誘電体14は、これらに限られないが、酸化物、窒化物、酸窒化物、及び/又はシリケート(金属シリケート及び窒化金属シリケートを含む)を含む。1つの実施形態においては、高k誘電体14は、例えば、HfO、ZrO、Al、TiO、La、SrTiO、LaAlO、Y、Ga、GdGaO及びこれらの混合物のような酸化物からなることが好ましい。高k誘電体14の非常に好ましい例は、HfO、ハフニウム・シリケート、又は酸窒化ハフニウム・シリコンを含む。
高k誘電体14の物理的厚さは変わり得るが、典型的には、高k誘電体14は、約0.5nmから約10nmまでの厚さを有し、約0.5nmから約3nmまでの厚さがより典型的である。
図1(B)に示されるスタック構造体を提供した後、次に、HfSiN金属化合物層16が、高k誘電体14の上に形成され、例えば図1(C)に示される構造体を提供する。本発明によると、HfSiN金属化合物層16は、Hfターゲットと、He中で希釈されたAr/N/Si源を含む雰囲気とを準備し、次いで、該雰囲気中でHfターゲットからHfSiN膜をスパッタリングすることによって形成される。スパッタリング・プロセスは、任意の従来のスパッタリング装置の反応炉のチャンバ内で行われる。上述のように、Heは、Si源を希釈するために用いられる。一般に、Si源を希釈するために用いられるHeの量は、約70%から約99%までであり、約95%から約99%までのHeの量がさらにより典型的である。幾つかの実施形態においては、Si源は、98%のHeを含む。
本発明に用いられるHfターゲットは、いずれかのHf固体源を含む。HfSiN膜のスパッタリングの際に雰囲気中で用いられるシリコン(Si)源は、例えば、SiH4−nを含む、任意の有機含有Si化合物を含み、ここで、nは、0、1、2、3、又は4であり、Rは、1から約18までの炭素原子、好ましくは約1から約8までの炭素原子を含む脂肪族部分(即ち、アルカン、アルケン、アルキン、又はこれらの組み合わせ)である。脂肪族部分は、直鎖型又は分枝型とすることができる。nは0であり、Si源はSiHであることが好ましい。Si源は、固体、液体、又は気体とすることができ、ガス状のSi源が非常に好ましい。
本発明に用いられるAr/N/Si源の流量比は、それぞれ、約1−100/1−100/1−100sccmであり、約10−20/5−15/10−30sccmの流量比がさらにより典型的である。幾つかの好ましい実施形態においては、それぞれ約5−50/5−50/5−50sccmのAr/N/Si源の流量比を用いることができる。
温度、圧力及び時間のような他のスパッタリング条件は、通常のものであり、当業者には公知である。
上述のように、HfSiN膜の抵抗率は、プロセス・ガスの濃度によって決まり、窒素濃度及び/又はSi濃度が高いほど、HfSiN膜16の抵抗率が高くなる。典型的には、HfSiN膜の抵抗率は、約105マイクロ・オーム/cm又はそれより小さく、約100マイクロ・オーム/cmから約130マイクロ・オーム/cmまでの抵抗率がさらにより典型的である。
形成されるHfSiN膜16の厚さは、用いられるスパッタリング条件及び製造されるデバイスのタイプによって変わり得る。典型的には、HfSiN膜16は、スパッタリング後、約2nmからから約200nmまでの厚さを有し、約5nmから約40nmまでの厚さがさらにより典型的である。
次いで、図1(C)に示される構造体を、任意の従来のCMOS処理の流れを用いて、例えばFETなどのCMOSデバイス内に形成することができる。幾つかの実施形態において、図1(C)に示されるスタック構造体は、そのままで処理することができ、又は代替的に、HfSiN層16の上に、Si含有ゲート材料18を形成することができる。後者の実施形態が、図2(A)−図2(D)に示される。図2(A)−図2(D)は、Si含有材料18の存在を示すが、一般に、Si含有材料が形成されないときも、次の処理ステップはうまく働く。一般に、HfSiN層16だけを含むパターン形成されたゲート領域20は、後でシリサイド化されないことに留意されたい。
図2(A)に示される実施形態において、ポリシリコン、SiGe及びSiGeCのようなSi含有材料18が、HfSiN層16の上に形成される。したがって、この実施形態において、Si含有材料18及びHfSiN層16は、多層のゲートを形成する。別の実施形態において、HfSiNは、単一の金属ゲートとして用いられる。用いられるSi含有材料18は、単結晶、多結晶又はアモルファスのいずれかの形であるか、或いはそうした形の混合物からなる。
Si含有材料は、典型的には、その場堆積プロセスを用いて、又は堆積、イオン注入及びアニールによってドープされる。HfSiNはn型金属であるので、ドーパントは、n型ドーパントである。本発明のこの時点で形成されたSi含有材料18の厚さ、即ち高さは、用いられるプロセスによって変わり得る。典型的には、Si含有材料は、約20nmから約180nmまでの垂直方向厚さを有し、約40nmから約150nmまでの厚さがより典型的である。
示される処理の実施形態においては、次に、Si含有材料18、HfSiN層16及び随意的な高k誘電体14、並びに界面層12が、リソグラフィ及びエッチングによってパターン形成され、パターン形成されたゲート領域又はスタック20を形成する。単一のパターン形成されたゲート領域(又はスタック)20が示されているが、本発明は、複数のパターン形成されたゲート領域(又はスタック)20の形成も考慮する。複数のパターン形成されたゲート領域(又はスタック)が形成されるとき、ゲート領域(又はスタック)は、同じ寸法、即ち長さを有してもよく、又はデバイス性能を向上させるために可変の寸法を有することもできる。本発明のこの時点でのパターン形成されたゲート・スタック(又は領域)20の各々は、少なくともパターン形成されたHfSiN層16を含む。図2(B)は、パターン形成されたゲート領域(又はスタック)20を形成した後の構造体を示す。示される実施形態においては、本発明のこのステップ中に、Si含有材料18、HfSiN層16、高k誘電体14及び界面層12が、エッチングされる、即ちパターン形成される。
リソグラフィ・ステップは、図2(A)又は図1(C)のいずれかに示されるブランケット層状構造体の上面に、フォトレジストを適用するステップと、フォトレジストを所望の放射パターンに露光させるステップと、従来のレジスト現像液を用いて、露光されたフォトレジストを現像するステップとを含む。次に、1つ又は複数の乾式エッチング・ステップを用いて、フォトレジストのパターンが、構造体に転写される。幾つかの実施形態においては、ブランケット層状構造体の層の1つにパターンが転写された後、パターン形成されたフォトレジストを除去することができる。他の実施形態においては、エッチングが完了した後、パターン形成されたフォトレジストが除去される。
パターン形成されたゲート領域(又はスタック)20を形成する際に本発明に用いることができる適切な乾式エッチング・プロセスは、これらに限られないが、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング、又はレーザ・アブレーションを含む。用いられる乾式エッチング・プロセスは、一般に、必ずしもというわけではないが、下にある高k誘電体14に対して選択的であり、よって、こうしたエッチング・ステップは、一般に、高k誘電体14及び界面層12を含むスタックを除去するものではない。しかしながら、幾つかの実施形態においては、図2(B)に示されるように、こうしたエッチング・ステップを用いて、前にエッチングされたゲート領域(又はスタック)の材料層によって保護されていない、高k誘電体14及び界面層12の一部を除去することができる。
次に、少なくとも1つのスペーサ22が、一般的に、必ずしもというわけではないが、パターン形成されたゲート領域(又はスタック)20の各々の露出された側壁上に形成される。例えば、図2(C)を参照されたい。少なくとも1つのスペーサ22は、酸化物、窒化物、酸窒化物、及び/又はこれらの任意の組み合わせのような絶縁体からなる。少なくとも1つのスペーサ22は、堆積及びエッチングによって形成される。
少なくとも1つのスペーサ22の幅は、ソース及びドレインのシリサイド・コンタクト(後に形成される)がゲート領域(又はスタック)20の縁部の下に侵入しないように、十分に広いものでなければならない。典型的には、少なくとも1つのスペーサ22が、約20nmから約80nmまでの底部で測定された幅を有するとき、ソース/ドレイン・シリサイドは、ゲート領域(又はスタック)20の縁部の下に侵入しない。
ゲート領域(又はスタック)20は、スペーサを形成する前に、これに熱酸化、窒化、又は酸窒化処理を施すことによって非活性化することもできる。非活性化ステップは、ゲート領域(又はスタック)20の周りに非活性化材料の薄層(図示せず)を形成する。このステップは、前のスペーサ形成ステップの代わりに、又はそれと併せて用いることができる。スペーサ形成ステップと共に用いられるとき、ゲート領域(又はスタック)20非活性化プロセスの後に、スペーサ形成が行われる。
次いで、ソース/ドレイン拡散領域24(スペーサの存在の有無にかかわらず)が、基板内に形成される。ソース/ドレイン拡散領域24は、イオン注入及びアニール・ステップを用いて形成される。アニール・ステップは、前の注入ステップによって注入されたドーパントを活性化させるように働く。イオン注入及びアニールのための条件は、当業者には公知である。イオン注入及びアニールの後に形成される構造体が、図2(D)に表される。
ソース/ドレイン拡散領域24は、従来の延長注入を用いてソース/ドレイン注入を行う前に形成された延長注入領域(別個に表記されていない)を含むこともできる。延長注入に続いて、活性化アニールを行うか、又は代替的に延長注入中にドーパントを注入することができ、同じ活性化アニール・サイクルを用いて、ソース/ドレイン注入を活性化させることができる。ここでは、ハロ注入(図示せず)も考慮される。ソース/ドレイン延長部は、一般に、深いソース/ドレイン領域より浅く、パターン形成されたゲート領域(又はスタック)20の縁部と整合された縁部を含む。
次に、前に除去されなかった場合には、これらの絶縁材料を選択的に除去する化学エッチング・プロセスを用いて、高k誘電体14及び下にある界面層12の露出された部分が除去される。このエッチング・ステップは、半導体基板10の上面で停止する。高k誘電体14及び下にある界面層12の露出された部分を除去する際に、いずれかの化学エッチング液を用いることができるが、1つの実施形態においては、希フッ化水素酸(dilute hydrofluoric aid、DHF)が用いられる。
図2(D)は、ソース/ドレイン拡散領域24、及び(存在する場合には)Si含有材料の上のシリサイド領域26の存在も示す。Si含有材料18の上のシリサイドは、随意的なものであり、ゲート領域(又はスタック)20の上にSi含有材料が存在しない場合には形成されない。シリサイド領域26は、任意の従来のシリサイド化プロセスを用いて形成される。幾つかの実施形態において、Si含有材料が少なくともソース/ドレイン領域24上に存在しないとき、シリサイド化の前に、エピタキシャルSi又はアモルファスSiのようなSi含有材料を形成することができる。
シリサイド化プロセスは、シリサイド化される領域の上に、C、Ge、Si等のような他の合金化添加剤を用いて、Co、Ti、W、Ni、Pt、又はこれらの合金のような、導電性の高融点金属を形成するステップを含む。CVD、PECVD、スパッタリング、蒸着、又はめっきのような従来の堆積プロセスを用いることができる。随意的に、金属の酸化を防ぐ金属層の上に、障壁層を形成することができる。随意的な障壁層の例は、例えば、SiN、TiN、TaN、TiON及びこれらの組み合わせを含む。金属の堆積に続いて、堆積された金属とSiの間に反応を引き起こし、続いて金属シリサイドを形成させる少なくとも第1のアニールが、構造体に施される。アニールは、典型的には、約250°から約800℃までの温度で行われ、約400°から約550℃までの第1のアニール温度がより典型的である。
幾つかの実施形態においては、第1のアニールは、選択的エッチング・プロセスに対して大きく耐性がある金属リッチなシリサイド相を形成する。金属リッチ相が生成されると、低抵抗率のシリサイドを形成するために、第2の高温アニールが必要とされる。他の実施形態においては、第1のアニールは、低抵抗率のシリサイドを形成するのに十分なものである。
第1のアニールに続いて、堆積された金属の未反応の残りの部分が、湿式エッチング、反応性イオン・エッチング(RIE)、イオン・ビーム・エッチング、又はプラズマ・エッチングのような従来のエッチング・プロセスを用いて除去される。
必要な場合には、エッチング・プロセスの後に、第2のアニールが行われる。第2のアニールは、一般に、第1のアニールにより高温で行われる。随意的な第2のアニールについての典型的な温度範囲は、約550°から約900℃までである。
金属相互接続部を用いるBEOL(back-end-of-line)相互接続レベルの形成のような、さらなるCMOS処理を、当業者には公知の処理ステップを用いて形成することができる。
以下の例は、本発明のプロセスの説明、及びHfSiN含有ゲート・スタックを形成する際に本発明のプロセスを使用することから得ることができる幾つかの利点を提供する。
この例において、HfSiN/HfO/SiOスタックが、Siウェハの表面上に形成された。SiO界面層が、Siウェハの酸化によって形成された。SiO界面層の厚さは、約1nmであった。次に、ALDによって、約3nmの厚さを有するHfO誘電体が、SiO界面層上に形成された。次に、Hfターゲットと、Ar:N:SiH(He中に2%)の流量比がそれぞれ20:10:20sccmである、Ar:N:SiH(He中に2%)を含む雰囲気とを準備することによって、HfSiN層が形成された。HfSiN層は、約40nmの厚さを有していた。スタックを形成した後、スタックに、1000℃のアニールを行い、続いて450℃で行われる形成ガス・アニールを行った。比較のために、類似したHfO/SiOスタックの周りに、TaSiN金属化合物が形成された。図3は、n−基板上のこれらのスタックの、10kHzにおけるCV特性を示す。HfSiNを含むスタックについての仕事関数は、nMOSデバイスについて一般的である約4.3eVであり、TaSiN含有スタックは、約4.7eV(ミッド・ギャップ)の仕事関数を有していたことに留意されたい。仕事関数は、非特許文献2に従って算出された。また、HfSiNを含むスタックの等価酸化物厚は、約12Åにすぎなかったことに留意されたい。したがって、ゲート・スタック内に実装された高k誘電体のために、このスタックを用いて製造されたnFETは、実質的にゲート漏れが減少した約12Åの反転層厚で機能すべきである。現在のSio技術は、ゲート漏れがずっと大きい約19Åの反転層厚を有する。
図4は、p−基板上のHfSiN/HfO/SiOゲート・スタックの300kHzで取られたCV特性をさらに示す。このゲート・スタックは、MOCVDによって堆積されたHfO(3nm)を除いて、図3に示されるものと類似している。このスタックは、5秒間、N中で1000℃で急速アニールされ、次いで、450℃で形成ガス雰囲気中でアニールされた。この場合、非特許文献2に従って算出された仕事関数は、約3.7eVである。このことは、HfSiNについてのn−FETの性質をさらに示す。
本発明は、特にその好ましい実施形態に関して示され、説明されたが、当業者であれば、本発明の精神及び範囲から逸脱することなく、形態及び細部における上記の及び他の変更を行い得ることを理解するであろう。したがって、本発明は、説明され、示された正確な形態及び細部に限定されるものでなく、添付の特許請求の範囲に含まれることが意図されている。
(A)高k誘電体及び界面層を含むスタック上にn型金属ゲートとしてHfSiN金属化合物を含む薄膜スタック構造体を形成するのに用いられる、種々の処理ステップを示す(断面図による)図形的な図である。 (B)高k誘電体及び界面層を含むスタック上にn型金属ゲートとしてHfSiN金属化合物を含む薄膜スタック構造体を形成するのに用いられる、種々の処理ステップを示す(断面図による)図形的な図である。 (C)高k誘電体及び界面層を含むスタック上にn型金属ゲートとしてHfSiN金属化合物を含む薄膜スタック構造体を形成するのに用いられる、種々の処理ステップを示す(断面図による)図形的な図である。 (A)ポリSi/ゲート金属の自己整合されたFET構造体を形成するための、本発明の基本的な処理ステップを示す(断面図による)図形的な図である。 (B)ポリSi/ゲート金属の自己整合されたFET構造体を形成するための、本発明の基本的な処理ステップを示す(断面図による)図形的な図である。 (C)ポリSi/ゲート金属の自己整合されたFET構造体を形成するための、本発明の基本的な処理ステップを示す(断面図による)図形的な図である。 (D)ポリSi/ゲート金属の自己整合されたFET構造体を形成するための、本発明の基本的な処理ステップを示す(断面図による)図形的な図である。 同じゲート・スタック(30ÅのHfO/SiO)上の、類似したプロセスにおける、TaSiNと比較したHfSiNの容量・電圧(CV、n−基板)特性を示す。TaSiN(ミッド・ギャップ)についての4.7eVと比較すると、HfSiNは、約4.3eVの仕事関数を有する(nFET)ことに留意されたい。 1000℃でアニールされ、次いで、形成ガス雰囲気中で450℃でアニールされた、HfO/SiOスタック上のHfSiNの容量・電圧(CV、p−基板)特性を示す。仕事関数は、約3.7eVである。

Claims (5)

  1. nFET半導体構造を形成する方法であって、
    基板の表面上に、界面層と当該界面層の表面に接する高k誘電体層を含むゲート・スタックを準備するステップと、
    前記ゲート・スタックの前記高k誘電体層の表面にHfSiN膜を形成するステップであって、前記HfSiN膜は、Hfターゲットと、Ar/N2/Heで希釈されたSi源を含む雰囲気とを準備し、前記雰囲気中で前記HfターゲットからHfSiN膜をスパッタリングすることによって形成され、Ar:N2:Siの流量比は、10〜20:5〜15:10〜30sccmである、ステップと、
    前記HfSiN膜の表面にSi含有導電性材料を形成するステップと、
    前記HfSiN膜と前記Si含有導電性材料をアニールするステップであって、最初に250℃から800℃までの温度で、次に550℃から900℃までの温度でアニールする、ステップと、を含む方法。
  2. nが0、1、2、3、又は4であり、Rが1から18までの炭素分子を含む脂肪部分である場合に、前記Si源は、式SiH4−nを有する、請求項1に記載の方法。
  3. nが0であり、前記Si源がSiHである、請求項2に記載の方法。
  4. 前記Si源は、He/(SiH +He)が70%から99%となるようにHeで希釈される、請求項3に記載の方法。
  5. パターン形成されたゲート領域内に、前記Si含有導電性材料と、前記HfSiN膜と、前記高k誘電体及び前記界面層を含む前記ゲート・スタックとをパターン形成するステップをさらに含む、請求項1に記載の方法。
JP2007551254A 2005-01-13 2005-12-02 n−FET用途のためのHfSiN金属を形成する方法 Expired - Fee Related JP5160238B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/035,369 2005-01-13
US11/035,369 US20060151846A1 (en) 2005-01-13 2005-01-13 Method of forming HfSiN metal for n-FET applications
PCT/US2005/043555 WO2006076087A2 (en) 2005-01-13 2005-12-02 METHOD OF FORMING HfSiN METAL FOR n-FET APPLICATIONS

Publications (3)

Publication Number Publication Date
JP2008530770A JP2008530770A (ja) 2008-08-07
JP2008530770A5 JP2008530770A5 (ja) 2008-11-06
JP5160238B2 true JP5160238B2 (ja) 2013-03-13

Family

ID=36652441

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007551254A Expired - Fee Related JP5160238B2 (ja) 2005-01-13 2005-12-02 n−FET用途のためのHfSiN金属を形成する方法

Country Status (7)

Country Link
US (3) US20060151846A1 (ja)
EP (1) EP1836732B1 (ja)
JP (1) JP5160238B2 (ja)
CN (2) CN101401211B (ja)
AT (1) ATE526684T1 (ja)
TW (1) TW200636870A (ja)
WO (1) WO2006076087A2 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060151846A1 (en) * 2005-01-13 2006-07-13 International Business Machines Corporation Method of forming HfSiN metal for n-FET applications
JP2006245461A (ja) * 2005-03-07 2006-09-14 Sony Corp 半導体装置およびその製造方法
US7301219B2 (en) * 2005-06-06 2007-11-27 Macronix International Co., Ltd. Electrically erasable programmable read only memory (EEPROM) cell and method for making the same
JP4455427B2 (ja) * 2005-06-29 2010-04-21 株式会社東芝 半導体装置及びその製造方法
US7425497B2 (en) * 2006-01-20 2008-09-16 International Business Machines Corporation Introduction of metal impurity to change workfunction of conductive electrodes
US7611979B2 (en) 2007-02-12 2009-11-03 International Business Machines Corporation Metal gates with low charge trapping and enhanced dielectric reliability characteristics for high-k gate dielectric stacks
US7648868B2 (en) * 2007-10-31 2010-01-19 International Business Machines Corporation Metal-gated MOSFET devices having scaled gate stack thickness
EP2123789A1 (en) * 2008-05-15 2009-11-25 Eifeler Werkzeuge GmbH A method of producing hard coatings
US8350341B2 (en) 2010-04-09 2013-01-08 International Business Machines Corporation Method and structure for work function engineering in transistors including a high dielectric constant gate insulator and metal gate (HKMG)
US8633534B2 (en) 2010-12-22 2014-01-21 Intel Corporation Transistor channel mobility using alternate gate dielectric materials
US8916427B2 (en) * 2013-05-03 2014-12-23 Texas Instruments Incorporated FET dielectric reliability enhancement
KR102392059B1 (ko) * 2013-07-29 2022-04-28 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN106158601A (zh) * 2015-03-26 2016-11-23 比亚迪股份有限公司 SiC基器件的栅介质层结构及栅介质层的形成方法
CN105448742B (zh) * 2015-12-30 2019-02-26 东莞市义仁汽车租赁有限公司 一种碳化硅材料上制备栅介质的方法
US10446400B2 (en) * 2017-10-20 2019-10-15 Samsung Electronics Co., Ltd. Method of forming multi-threshold voltage devices and devices so formed
CN110993603A (zh) * 2019-12-09 2020-04-10 中国科学院微电子研究所 半导体结构及其形成方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6313539B1 (en) * 1997-12-24 2001-11-06 Sharp Kabushiki Kaisha Semiconductor memory device and production method of the same
US6413386B1 (en) * 2000-07-19 2002-07-02 International Business Machines Corporation Reactive sputtering method for forming metal-silicon layer
JP2003069011A (ja) * 2001-08-27 2003-03-07 Hitachi Ltd 半導体装置とその製造方法
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6797525B2 (en) * 2002-05-22 2004-09-28 Agere Systems Inc. Fabrication process for a semiconductor device having a metal oxide dielectric material with a high dielectric constant, annealed with a buffered anneal process
AU2003273328A1 (en) * 2002-09-18 2004-04-08 Infineon Technologies Ag Nitride and polysilicon interface with titanium layer
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
CN1263147C (zh) * 2002-12-09 2006-07-05 旺宏电子股份有限公司 具有高介电常数隧穿介电层只读存储器的结构与制造方法
US6803611B2 (en) * 2003-01-03 2004-10-12 Texas Instruments Incorporated Use of indium to define work function of p-type doped polysilicon
JP2004221467A (ja) * 2003-01-17 2004-08-05 Fujitsu Ltd 半導体装置及びその製造方法
US6852645B2 (en) * 2003-02-13 2005-02-08 Texas Instruments Incorporated High temperature interface layer growth for high-k gate dielectric
JP4489368B2 (ja) * 2003-03-24 2010-06-23 株式会社日立製作所 半導体装置およびその製造方法
JP2005005603A (ja) * 2003-06-13 2005-01-06 Toshiba Corp 半導体装置及びその製造方法
US7202186B2 (en) * 2003-07-31 2007-04-10 Tokyo Electron Limited Method of forming uniform ultra-thin oxynitride layers
JP4933256B2 (ja) * 2003-07-31 2012-05-16 東京エレクトロン株式会社 半導体微細構造物を形成する方法
US6974779B2 (en) * 2003-09-16 2005-12-13 Tokyo Electron Limited Interfacial oxidation process for high-k gate dielectric process integration
JP3790242B2 (ja) * 2003-09-26 2006-06-28 株式会社東芝 半導体装置及びその製造方法
US7351626B2 (en) * 2003-12-18 2008-04-01 Texas Instruments Incorporated Method for controlling defects in gate dielectrics
US7737051B2 (en) * 2004-03-10 2010-06-15 Tokyo Electron Limited Silicon germanium surface layer for high-k dielectric integration
JP2005317647A (ja) * 2004-04-27 2005-11-10 Toshiba Corp 半導体装置及びその製造方法
US7098516B2 (en) * 2004-05-24 2006-08-29 Texas Instruments Incorporated Refractory metal-based electrodes for work function setting in semiconductor devices
US8399934B2 (en) * 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7282773B2 (en) * 2004-09-14 2007-10-16 Advanced Micro Devices Inc. Semiconductor device with high-k dielectric layer
US20060068603A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited A method for forming a thin complete high-permittivity dielectric layer
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
JP2006114747A (ja) * 2004-10-15 2006-04-27 Seiko Epson Corp 半導体装置の製造方法
US20060151846A1 (en) * 2005-01-13 2006-07-13 International Business Machines Corporation Method of forming HfSiN metal for n-FET applications

Also Published As

Publication number Publication date
CN101789370B (zh) 2012-05-30
CN101401211B (zh) 2012-03-21
EP1836732B1 (en) 2011-09-28
CN101401211A (zh) 2009-04-01
EP1836732A2 (en) 2007-09-26
EP1836732A4 (en) 2009-07-01
CN101789370A (zh) 2010-07-28
TW200636870A (en) 2006-10-16
WO2006076087A3 (en) 2008-11-13
WO2006076087A2 (en) 2006-07-20
ATE526684T1 (de) 2011-10-15
US20080245658A1 (en) 2008-10-09
US20080038905A1 (en) 2008-02-14
US20060151846A1 (en) 2006-07-13
JP2008530770A (ja) 2008-08-07
US7521346B2 (en) 2009-04-21

Similar Documents

Publication Publication Date Title
JP5160238B2 (ja) n−FET用途のためのHfSiN金属を形成する方法
JP5270086B2 (ja) pFET材料としての金属酸窒化物を用いた半導体構造およびその製造方法
JP5511889B2 (ja) TiC膜を含む半導体構造を形成する方法
JP4791332B2 (ja) 二重金属ゲートを含む半導体構造及びその製造方法(二重金属ゲートの自己整合集積化)
KR101027107B1 (ko) 완전 변환된 반도체 금속 합금에 의한 금속 게이트mosfet
JP5336857B2 (ja) 金属不純物の導入による導電性電極の仕事関数を変更する方法(およびその半導体構造体)
US7611979B2 (en) Metal gates with low charge trapping and enhanced dielectric reliability characteristics for high-k gate dielectric stacks
JP5089576B2 (ja) 自己整合され積極的にスケーリングされたcmosデバイスにおけるゲート電極の金属/金属窒化物二重層のcmos構造体及び半導体構造体
US20090152642A1 (en) SELECTIVE IMPLEMENTATION OF BARRIER LAYERS TO ACHIEVE THRESHOLD VOLTAGE CONTROL IN CMOS DEVICE FABRICATION WITH HIGH-k DIELECTRICS
US7755145B2 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080829

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120221

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120223

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120510

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20120510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120510

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120828

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121002

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20121002

R155 Notification before disposition of declining of application

Free format text: JAPANESE INTERMEDIATE CODE: R155

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121212

R150 Certificate of patent or registration of utility model

Ref document number: 5160238

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151221

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees