JP4903810B2 - 円高速フーリエ変換 - Google Patents

円高速フーリエ変換 Download PDF

Info

Publication number
JP4903810B2
JP4903810B2 JP2008544853A JP2008544853A JP4903810B2 JP 4903810 B2 JP4903810 B2 JP 4903810B2 JP 2008544853 A JP2008544853 A JP 2008544853A JP 2008544853 A JP2008544853 A JP 2008544853A JP 4903810 B2 JP4903810 B2 JP 4903810B2
Authority
JP
Japan
Prior art keywords
logic circuit
fft
output ports
input samples
circuit output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008544853A
Other languages
English (en)
Other versions
JP2009519637A (ja
Inventor
ヴィルヘルムソン,レイフ
スヴェンソン,ジム
ベルクマン,アンデルス
Original Assignee
テレフオンアクチーボラゲット エル エム エリクソン(パブル)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テレフオンアクチーボラゲット エル エム エリクソン(パブル) filed Critical テレフオンアクチーボラゲット エル エム エリクソン(パブル)
Publication of JP2009519637A publication Critical patent/JP2009519637A/ja
Application granted granted Critical
Publication of JP4903810B2 publication Critical patent/JP4903810B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/14Fourier, Walsh or analogous domain transformations, e.g. Laplace, Hilbert, Karhunen-Loeve, transforms
    • G06F17/141Discrete Fourier transforms
    • G06F17/142Fast Fourier transforms, e.g. using a Cooley-Tukey type algorithm
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/26Systems using multi-frequency codes
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/26Systems using multi-frequency codes
    • H04L27/2601Multicarrier modulation systems
    • H04L27/2647Arrangements specific to the receiver only
    • H04L27/2649Demodulators
    • H04L27/265Fourier transform demodulators, e.g. fast Fourier transform [FFT] or discrete Fourier transform [DFT] demodulators
    • H04L27/2651Modification of fast Fourier transform [FFT] or discrete Fourier transform [DFT] demodulators for performance improvement
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/26Systems using multi-frequency codes
    • H04L27/2601Multicarrier modulation systems
    • H04L27/2647Arrangements specific to the receiver only
    • H04L27/2655Synchronisation arrangements
    • H04L27/2662Symbol synchronisation

Landscapes

  • Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Discrete Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Signal Processing (AREA)
  • Computational Mathematics (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Data Mining & Analysis (AREA)
  • Theoretical Computer Science (AREA)
  • Algebra (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Complex Calculations (AREA)

Description

本発明は高速フーリエ変換(Fast Fourier Transform:FFT)に関し、より具体的には、入力データの巡回移動(cyclic shift)を有するFFTを、または同値として、各出力データの回転を有するFFTを達成することに関する。
低レベル電気通信信号送信から音声および画像処理に至る現代デジタル信号処理において、FFTは非常に多くの用途を有している。ある用途においては、特定の目的のために変換データセットが前移動(pre‐shifted)または後回転される(post‐rotated)。
変換理論から、前移動(pre‐shift)および後回転(post‐rotation)は同値の演算であることが知られている。しかしながら従来配列においては、前移動および後変換の実施形態は互いに異なる。前移動機(pre‐shifter)は、少なくとも変換大の大きさのバッファ、およびあるアドレス論理回路(addressing logic)から構成される。一方、後回転機(post‐rotator)は、例えば座標回転デジタルコンピュータ(COordinate Rotation DIgital Computer:CORDIC)アルゴリズムを用いる複合乗算機または純粋な回転機、およびある回転角生成機(例えばメモリに格納されたテーブル)として実施される。
FFTと併せて前移動および/または後回転が用いられる典型的な用途は、直交周波数分割多重(Orthogonal Frequency Division Multiplexing:OFDM)を活用して情報を通信する通信システムにある。現在、OFDMは、様々なワイヤレスローカルエリアネットワーク(wireless local area network:WLAN)規格(例えばIEEE802.11aおよびWiMAX)およびデジタルテレビジョン(DVB)において用いられている。またOFDMは、超広帯域(ultra wideband:UWB)および「3G」(third generation)セルラーシステムへの拡大などのような将来の通信規格についても考慮されている。
前移動の一用途は、OFDMにおいて時間同期を改善することにある。巡回プレフィックスを有する単一の時間領域OFDMシンボルを図1に示す。送信機にて、データが複素数へ符号化され、複素数は逆フーリエ変換されており、時間領域において巡回プレフィックスが付加されている。受信機側にて、順フーリエ変換を応用することによりデータが再生される。全部とまではいかなくともほとんどの実施形態は、時間‐周波数変換に対する何らかのFFTアルゴリズムに依存している。
受信機時間同期、つまりFFT窓を配置するための最良の場所を発見することが、受信機の性能を良くするには欠かせない。1つよりも多くのシンボル(その巡回プレフィックスも含む)が含まれるように窓が配置されるような状況は、シンボル間干渉(inter‐symbol interference:ISI)つまり2つの独立のシンボルが1つに混合されることを起こすため、あり得ない。
窓の最適な配置は、「できる限り後で(as late as possible)」、次のシンボルの巡回プレフィックスからのデータを含むことなく配置することである。しかしながら、あまり無理な手法はISIを引き起こす場合があり、そのためいくらか安全性の余地を残しておく必要がある。巡回プレフィックスの部分も含め、早くに窓を配置することにより、チャネルのインパルス応答が十分短い限りにおいては、ISIの恐れが取り除かれる。
FFT窓の早期配置は、変換入力データの巡回移動に対応する(図1参照のこと)。送信機における最右位置にあるデータチャンクがここで受信機FFT窓における左に現れる。チャネル推定機において周波数補間を用いるシステムについては、移動が大きくなればなるほど、補間も複雑になっていく。チャネル推定を単純にしておくために、前移動または後回転により、入力データはFFT窓において一列に並べられるべきである。
OFDMにおける前移動および/または後回転の別の用途は、通信チャネルが大きな遅延拡散(delay spread)を有する場合である。このようなチャネルは、周波数領域における回転をエクスペリエンスするが、かかる回転は、提案するFFTスキームにより軽減可能である。
前移動および/または後回転の利点は、代償なしでは実現しない。時間領域における円移動(circular shift)は、ハードウェアの実施形態に、大きなバッファ、対応する待ち時間およびエネルギー消費を必要とする。対応する後回転は、全変換出力データに関して作動する高精度逆回転機(de‐rotator)を必要とし、チップエリアおよびエネルギー消費の増大を招く。
既存の解決方法は提示したような問題を抱えている。最新技術の円移動は、時間または周波数のどちらかにおいて実施される。2つの方法は異なる実現に終わるが、その2つに共通することは、FFT単独と比較すると大量に、エリア、待ち時間、およびエネルギー消費が増加することである。
時間領域解決方法として、FFTへの入力データは変換前に円移動される。この移動を行うために、FFTがパイプライン型の手法を用いて実施されていない場合には、送信される全データが使用可能である必要がある。このように、Nワードの大きさの追加バッファが必要とされる。パイプライン型の手法がFFTに用いられたとしても、配列が処理可能となる円移動の量によっては、N−1ワードまで格納するためのバッファを与えることが必要となる場合もある。
周波数領域の方法において、移動は各出力データの回転に対応する。この場合にはバッファは必要ないが、この技術は、高分解能回転機を必要とするという関連問題をなおも抱えている。加えて、FFTからの出力データは逆ビット順(bit‐reversed order)で生成される場合がある。すなわち、連続出力サンプルごとの回転角が多少不規則に見えるであろう、ということを意味する。このようにして、角を格納するテーブルは大きくなってしまう。
先述の議論を考慮すると、最新技術の方法は、エリア、待ち時間、およびエネルギー消費における大きなオーバーヘッドが障害となっていることが明らかである。したがって、データの前移動/後回転を有するFFTを達成する改善技術および装置を与えることが望ましい。
「comprises(含む)」および「comprising(含むこと)」という言葉が本明細書において使用される場合、所定の(stated)特徴、整数、ステップまたは構成要素の存在を明細に記すためにとられているということが強調されるべきである。しかし、これらの言葉の使用は、1または2以上の他の特徴、整数、ステップ、構成要素またはそれらの組の存在または追加を排除するものではない。
本発明の一側面によれば、先述のおよび他の目的は、N2進数(N bin)FFTにおいて用いられる周波数間引き(Decimation In Frequency:DIF)FFTステージにおいて達成され、ここでNは偶数の整数である。第1の入力サンプルx(v)と第2の入力サンプルx(v+N/2)とを受信し、第1および第2の入力サンプルをそれぞれ第1および第2の交換論理回路出力ポートにて、または代わりに第2および第1の入力サンプルをそれぞれ第1および第2の交換論理回路出力ポートにて選択的に提供する交換論理回路を、DIF FFTステージは備え、ここで0≦v<N/2である。第1および第2の交換論理回路出力ポートにより提供された値を加算する合計ユニットと、第1および第2の交換論理回路出力ポートにより提供された値を減算する差分ユニットと、差分ユニットにより提供された値に回転因子W (v+s)mod(N/2)を乗算する回転因子論理回路とを、DIF FFTステージはさらに備え、ここでsはN個の入力サンプルの円移動の量を表す整数である。
別の側面において、上述のDIF FFTステージと、(v+s)modN<N/2である場合には、第1および第2の入力サンプルを、それぞれ第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、および(v+s)modN≧N/2である場合には、第2および第1の入力サンプルを、それぞれ第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、交換論理回路を制御する論理回路とを、FFTプロセッサが備える。
代替実施形態において、上述のDIF FFTステージと、(v+s)∧N/2=0である場合には、第1および第2の入力サンプルを、それぞれ第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、および(v+s)∧N/2≠0である場合には、第2および第1の入力サンプルを、それぞれ第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、交換論理回路を制御する論理回路とを、FFTプロセッサが備え、ここで∧はビット単位の論理AND演算を表す。
本発明の目的および利点は、図面と併せて以下の詳細な説明を読むことにより理解されるであろう。
本発明の様々な特徴を、図面を参照しながらここで説明することにする。図面において、同様の部分は同一の参照文字で識別してある。
本発明の様々な側面を、多くの例示的実施形態に関連させながら、ここでさらに詳しく説明することにする。本発明の理解を容易にするために、コンピュータシステムの構成要素、またはプログラム型命令を実行可能な他のハードウェアの構成要素により行われる動作列で、本発明の多くの側面を説明する。各実施形態において、特殊回路(specialized circuit)(例えば特殊機能を行うように連結された離散論理回路ゲート)により、1または2以上のプロセッサにより実行されるプログラム命令により、またはその両方の組合せにより、様々な動作が行われ得ることが認識されるであろう。また、プロセッサにここで説明する技術を実行させるコンピュータ命令の適切な組を含む固体メモリ、磁気ディスク、光ディスク、またはキャリア波(電磁波、音波、または光波キャリア波など)などのようなコンピュータ読出可能キャリアのいかなる形態内で、本発明は全体的に具体化されると見なすこともさらに可能である。このように、本発明の様々な側面は多くの異なる形態で具体化可能であり、このような形態は全部、本発明の範囲内となるように考えられたものである。本発明の様々な側面の各々について、このような実施形態のことを、ここでは、説明した動作を行う「ように構成される論理回路(logic configured to)」、または代わりに、説明した動作を行う「論理回路(logic that)」という場合がある。
本発明の一側面は、移動/回転およびFFTを同一演算において行い、それによりハードウェア、時間、およびエネルギーをさらに効果的に用いることである。この手法は、以下で詳細に説明するが、移動FFTが使用可能であるところの全技術分野に適用可能であり、かかる技術分野には画像処理、ワイヤレスローカルエリアネットワーク(WLAN)、超広帯域(UWB)通信、エコー相殺(echo cancellation)などが含まれるが、それらに限定はしない。またこの手法は、パイプライン(pipelining)、基数(radix)、または並列化(parallelization)とは無関係に、全種類のFFTアーキテクチャに適用可能である。
基数2のFFTアルゴリズムは、離散フーリエ変換から、分割統治法を用いて与えられる。アルゴリズムには2つの基本バージョンがあり、一方は時間領域において間引きする(decimating)ことで分割し、他方は周波数領域における間引きで分割する。本発明の様々な側面の理解を容易にするために、基数2の周波数間引き(Decimation In Frequency:DIF)のFFTアルゴリズムの誘導からここで議論を始める。
まず、n=0,……,N−1においてx(n)をサンプル列とし、ここでNは偶数であり、好ましくは2の乗数である。次に数列x(n)の離散フーリエ変換(Discrete Fourier Transform:DFT)をX(k)と表し、ここでk=0,……,N−1である。回転因子記号(twiddle factor notation)
=e−j2π/N
を式の単純化のために用いると、x(n)からX(k)へのDFTは以下のように書ける。
Figure 0004903810
次に数式(1)は、周波数kの偶奇について独立に分析される。偶周波数について、数式は以下となる。
Figure 0004903810
和の回転因子は、元の数式(1)と比べ、n=0,……,N−1について2倍の回転数を完備(complete)する。ゆえに和は、以下のように2つの半分の範囲まで、0からN/2−1までの和に分割される。
Figure 0004903810
この数式は、0≦n<N/2においてu(n)=x(n)+x(n+N/2)を定義することにより、その解釈がより簡単になる。実際、上式は以下のように大きさN/2のu(n)のFFTとなる。
Figure 0004903810
さて、変換の奇周波数へ焦点を変えて、同様の手法を適用すると、以下が与えられる。
Figure 0004903810
ここでまた、回転因子は数式(1)と比べると、nの範囲が0からN−1までの場合、2倍の回転数となり、上と同様に和は半分の範囲、0からN/2−1の和に分割され、以下となる。
Figure 0004903810
これは下式に等しい。
Figure 0004903810
新しく、n=0からN/2−1において、補助変数v(n)=W (x(n)−x(n+N/2))を導入すると、以下となる。
Figure 0004903810
結論として、信号x(n)の大きさNのFFTは、数列u(n)およびv(n)の大きさN/2のFFT2つの計算に分割され、ここでuおよびvはxの単関数であり、
DFT{x(n)}→DFTN/2{u(n)}およびDFTN/2{v(n)}であり、
ここで、u(n)= (x(n)+x(n+N/2)),
v(n)=W (x(n)−x(n+N/2)).
大きさN/2のFFT2つから大きさNのFFTを決定する配列を図2に示す。図式におけるuおよびvの生成は、図における加減構造の形状(破線ボックス201に囲んである)から、通例「FFTバタフライ(FFT butterfly)」と呼ばれる。
装置およびコンピュータ適用手法は、半分の大きさの変換2つに分割される変換が偶数である限り繰り返される。各反復は常にN/2個の新たなバタフライのグループのとなる。このようなグループはバタフライステージと呼ばれる。これを説明するため、図3に、各々8つのバタフライからなる4つのバタフライステージを有するN=16のDIF FFTの概略アウトラインを示す。どのように出力が逆ビット順で生成されるかに留意のこと:入力順を0,1,2,3,……,13,14,15(2進法では0000,0001,0010,0011,……,1101,1110,1111)と仮定すると、出力は、0,8,4,12,……11,7,15の順になる(2進法では0000,1000,0100,1100,……,1011,0111,1111)。
1つの変換から半分の大きさの2つの変換へのそれぞれ個々の分割においては、Nは2乗される必要がないことを、さらに指摘する。しかしながら、完全変換(complete transform)の大きさが2乗ではないならば、あるステージにおいてNは偶数であるが、N/2は偶数ではなく、該変換は上述の手法を用いてさらに分割することはできない。
前移動および後回転の効果をここで説明することにする。前と同様に、時間離散信号x(n)(n=0,……,N−1)のDFTは以下のように定義される。
Figure 0004903810
ここでk=0,……,N−1である。左へs巡回ステップだけ移動した信号x(n)をx(n)とすると、
(n)=x((n+s)modN)
である。さて、x(n)のフーリエ変換は以下の定義による。
Figure 0004903810
n=lを代入すると、以下が与えられる。
Figure 0004903810
これは、回転因子(rotation factor)W −ksを乗じられたx(n)の変換である。このように、時間領域における移動は、周波数領域における回転に対応する。逆フーリエ変換については、状況は逆である。
FFTのハードウェアの実施形態は、図3に示したもののように、そのデータフローグラフの直写像に基づいてもよい。しかしながら、このような構造は、全入力データが変換ごとに並列に使用可能であることを必要とするため、大抵あまりに高速な支援ハードウェアを必要とするであろう。言い換えれば、データフローグラフから直接設計されるFFTを活用するためには、データは実際の変換スピードよりもN倍速いペースで到着する必要があるということである。データレートがより遅い場合、ハードウェアはかなりの時間をアイドル状態で入力を待ち続け、かかる実施形態に不必要なチップエリアの浪費をもたらすであろう。
多くのデジタル信号処理の用途では、サンプルが次々と到着するような連続方式(serial fashion)で、データが到着する。これは、例えばアンテナからのデジタル化音声信号またはサンプル化無線ベースバンド信号の場合である。並列FFTの実施形態は、このような用途には明らかに速過ぎる。かかる不釣合いを回避するために、データフローグラフが、実施されるバタフライステージごとにバタフライプロセッサを1つだけ必要とする方法で重ね合わせられてもよい。
このような重ね合わせFFT(folded FFT)の実施形態のための、基数2のバタフライステージ400のアーキテクチャを、図4(a)に示す。大きさNのFFTは、log(N)から構成され、かかるステージは連続して接続されている。基数2のバタフライステージ400は遅延線401および選択可能データパス論理回路403を有する。基数2のバタフライステージ400を通るデータパスは、2つの演算方式を有する。すなわち、移動方式(shift mode)(図4(b)に図示)、および計算方式(computation mode)(図4(c)に図示)である。
移動方式において、データパス論理回路403は、入力からN/2個のサンプルを受信し、それらサンプルを遅延線401へフィードするように構成される。並行して、データパス論理回路403は、遅延線401のコンテンツを受信し、このコンテンツを基数2のバタフライステージ400の出力として提供する。このように、この方式における基数2のバタフライステージ400は、遅延線としてのみ作用する。
計算方式において、データパス論理回路403は、現入力サンプル、および遅延線から外に移動されたデータからのバタフライ演算を計算する。バタフライ演算からのある出力は、基数2のバタフライステージ400の出力として提供され、一方バタフライ演算の他の出力は遅延線401の入力へフィードされる。
本発明の一側面において、標準FFTプロセッサが、入力データに適用される円移動量とは独立に同じ結果を算出するように修正される。入力データ交換機(swapper)およびオフセットを回転因子インデックスに追加することでバタフライプロセッサ構成要素を変更することを、かかる修正は含む。ある単純な制御論理回路が、回転因子オフセットが何であるべきかと、入力データがいつ交換されるべきかとを決定するために含まれる。このことをここでより詳細に説明する。
本発明の様々な側面の理解を容易にするために、N2進数FFTに対する単一のバタフライステージの一例を分析する。N個の入力サンプルx(0),……,x(N−1)に対するインデックス0,……,N−1と、FFTを行うために必要な対応するN/2個のバタフライとを考慮されたい。上記バタフライのうちバタフライ0および1(「BF」および「BF」)を図5に概略的に示す。FFTアルゴリズムの誘導から明らかなように、各バタフライBFは、N/2個の入力サンプルにより離間された入力をとる。つまり、BFはその入力としてx(i)およびx(i+N/2)を有する。この配列を用いると、BFに対する出力が変数x(i)およびx(i+N/2)を上書き可能であるため、FFTアルゴリズムは適切に計算可能である。
入力データインデックスの円左移動(circular left shift)後の状況は、図6に示したようになる。円左移動が行われなかったかのようにFFTが計算されることが望ましい場合、バタフライ演算も移動される必要がある。例えば、最左端のバタフライは、インデックス0およびN/2に対応する入力を以前に受信しており、今度はインデックス1およびN/2+1に対応する入力をフィードされる。結果として、BFとして機能する代わりに、このバタフライはBFとして機能するように変更される。
同様にして、最右端のバタフライは、インデックスN/2−1およびN−1に対応する入力を以前に受信しており、今度はインデックスN/2および0に対応する入力をフィードされる。結果として、BFN/2−1として機能する代わりに、このバタフライステージの機能もその入力に合うように変更されるべきである。しかしながら、サンプルx(0)が最左端から最右端位置までを包んでしまっているため、2つのサンプルx(0)およびx(N/2)の入力順は、標準BFバタフライと比べて逆転されていることが観察されるであろう。この入力の逆転を示すため、このバタフライはBF’と表す。
入力データの右円移動について、その状況は図7に示すようになる。入力における変更のため、最左端のバタフライはBFN/2−1’と表示可能であり、その右隣はBFとなるということがわかる。残りのバタフライもそれに応じて変わり、最右端のバタフライはBFN/2−2となる。
図8は、本発明の側面による、基数2のDIF FFTステージ800(以後「ステージ800」)の一例の概略図である。所望の円FFT機能(circular FFT function)を達成するために、ステージ800は従来のバタフライステージとはいくつかの点で異なる。まず、2つの入力が2つのマルチプレクサ801、803にそれぞれ提供される。これにより、これら入力が条件によっては交換されることが可能となる。この交換は、バタフライステージが従来のBFの位置にあるか(交換は必要なし)、それともBF’の位置にあるか(入力の逆転を元に戻すように交換する必要がある)に応じて行われる。
マルチプレクサ801、803のための制御論理回路(図示せず)は、以下にしたがって動作してもよい。2つの入力x(v)およびx(v+N/2)を仮定し、ここで0≦v<N/2であるとすると、(v+s)modN≧N/2である場合、マルチプレクサ801、803は入力を交換するべきであり、または、(v+s)∧N/2≠0であり、ここでsが移動数であり、∧がビット単位の論理AND演算を表す場合、入力は同値であるべきである(Nが2の乗数である場合)。さもなければ、交換は生じない。sの符号を用いて移動方向を制御可能であるが、一方向におけるsビットの移動(例えば左)は、逆方向におけるN−sビットの移動(例えば右)と同値であるため、便宜上sは範囲0≦s<Nに限定可能である。
第1のマルチプレクサ801からの出力は、合計ユニット805の第1の入力へ提供され、また差分ユニット807の第1の入力へも提供される。同様に、最後のマルチプレクサ803からの出力は、合計ユニット805の第2の入力へ提供され、また差分ユニット807の第2の入力へも提供される。第2の差分ユニット807からの出力は、回転因子論理回路809へ提供される。
従来のバタフライステージと異なるステージ800の別の側面は、回転因子論理回路809内で使用される回転多重化定数(twiddle multiplication coefficient)が因子sにより相殺され、それによりW (v+s)mod(N/2)に等しくなるということである。Nが2の乗数である場合、モジュロ演算(modulo operation)は、2進数システムにおける巻き込み(wrap‐around)となるため、損失もなく達成される。
2つの出力がステージ800により提供される。これらの出力のうち第1のものであるx’(v)は合計ユニット805により提供される。これらの出力のうち第2のものであるx’(v+N/2)は回転因子論理回路809により提供される。
多くのステージ800を、図3に示すような方式で相互接続して、完全なDIF FFTを形成してもよい。代わりに、図4(a)に示すような設計にステージ800を(データパス論理回路403の一部として用いるために)組み込み、上述のようなパイプライン型の基数2のFFTアーキテクチャを作成してもよい。
FFTプロセッサが、前移動データの、または同値に後回転データの変換を、別々の前移動または後回転回路を必要とすることなく直接計算するように構成されるということを、ステージ800を例とするバタフライステージは可能にする。円FFTプロセッサは多くの用途に有用である。このような用途には、OFDM受信機におけるFFT窓誤配置に対する補償(compensating)、大きな遅延拡散を有するチャネルにより引き起こされる回転の反作用(counteracting)が含まれるが、これらに限定はしない。
本発明による設計は、従来技術よりも優れた多くの利点を与える。例えば、かかる設計は、最新技術の前移動または後回転手法が同じ操作を行うために適用される場合に発生する追加領域、エネルギー、およびタイミングインクリメントに煩わされることがない。FFTプロセッサ内部で移動が行われ、追加ブロックまたは配線は追加される必要がないため、移動量を搬送する入力信号s以外、プロセッサの全体制御もまた単純化される。システム設計者に対しては、追加移動機能性はFFTハードウェア内に隠されている。
サンプルが、適した順序x(0),x(1),x(2),……,x(N−1)でFFTに到着しないが、代わりにある量sだけ円移動され、そのため代わりにx(s),x(s+1),x(s+2),……,x(N−1),x(0),x(1),……,x(s−1)という順序で到着する状況に本発明による円FFTは特に有用である。例えば上記状況は、不適切に配置されたFFT窓がOFDM受信機にある場合に発生し得るものである。また、入力サンプルの望ましくない円移動が、大きな遅延拡散を有するチャネルを通した伝播(propagation)により引き起こされることもあり得る。ここで開示する円FFTは、入力サンプルの移動が全くなかったかのように同じFFT出力が生成されることを可能にするため、かかる問題を解決するのに使用可能である。概念的には、FFTプロセッサが計算を行う前に入力をある量sだけ反対方向へ円移動させたかのようであるが、図示したように、問題解決を達成するには実際の移動は必要ない。このような実施形態は、入力サンプルの円移動の量を決定し、FFTプロセッサを制御して、入力サンプルの円移動の量と同値であるが方向は逆であるsの値を使用する論理回路を含むであろう。
具体的な実施形態を参照しながら、本発明を説明してきた。しかしながら、上述の実施形態以外の特定の形態で本発明を具体化することが可能であるということは、当業者にとって容易に明らかとなろう。説明した実施形態は単に例示的なものであり、決して限定的なものであると見なされるべきではない。本発明の範囲は、先述の詳細な説明ではなく、特許請求の範囲によって与えられ、特許請求の範囲内に含まれる均等物は、本発明に含まれるものとして意図されている。
巡回プレフィックスを有する単一の時間領域OFDMシンボルである。 大きさN/2の変換2つから大きさNのフーリエ変換を決定するための配置である。 各々8つのバタフライからなる4つのバタフライステージを有するN=16のDIF FFTの概略的アウトラインである。 重ね合わせFFTの実施形態に対する基数2のバタフライステージのアーキテクチャを示す。 操作の移動方式の間の重ね合わせFFTの実施形態を示す。 操作の計算方式の間の重ね合わせFFTの実施形態を示す。 N/2個のバタフライ演算を含む配置における2つのバタフライ演算を概略的に示す。 入力データインデックスの円左移動が行われた後の図5のバタフライ演算における影響を概略的に示す。 入力データインデックスの円右移動が行われた後の図5のバタフライ演算における影響を概略的に示す。 本発明の側面による基数2のDIF FFTステージの一例の概略図である。

Claims (12)

  1. N2進数フーリエ変換に使用する周波数間引き(DIF)高速フーリエ変換(FFT)ステージであって、その場合Nは整数であり、
    前記DIF FFTステージは、
    第1の入力サンプルx(v)と第2の入力サンプルx(v+N/2)とを受信し、前記第1および第2の入力サンプルをそれぞれ第1および第2の交換論理回路出力ポートにて、または代わりに前記第2および第1の入力サンプルをそれぞれ前記第1および第2の交換論理回路出力ポートにて、選択的に提供する交換論理回路と、その場合0≦v<N/2であることと、
    前記第1および第2の交換論理回路出力ポートにより提供された値を加算する合計ユニットと、
    前記第1および第2の交換論理回路出力ポートにより提供された値を減算する差分ユニットと、
    前記差分ユニットにより提供された値に回転因子W (v+s)mod(N/2)を乗算する回転因子論理回路と、その場合sはN個の入力サンプルの円移動の量を表す整数であることと、
    を備えるDIF FFTステージ。
  2. 高速フーリエ変換(FFT)プロセッサであって、
    請求項1に記載のDIF FFTステージと、
    (v+s)modN<N/2である場合には、前記第1および第2の入力サンプルを、それぞれ前記第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、および(v+s)modN≧N/2である場合には、前記第2および第1の入力サンプルを、それぞれ前記第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、前記交換論理回路を制御する論理回路と
    を備えるFFTプロセッサ。
  3. 直交周波数分割多重(OFDM)受信機であって、
    請求項2に記載のFFTプロセッサと、
    前記入力サンプルの円移動の量を決定し、前記入力サンプルの回転の量と同値であるが反対方向であるsの値を用いるように前記FFTプロセッサを制御する論理回路と
    を備えるOFDM受信機。
  4. 前記入力サンプルの円移動は、不適切に配置されたFFT窓により引き起こされる、請求項3に記載のOFDM受信機。
  5. 前記入力サンプルの円移動は、大きな遅延拡散を有するチャネルを通した前記入力サンプルの伝播により引き起こされる、請求項3に記載のOFDM受信機。
  6. 高速フーリエ変換(FFT)プロセッサであって、
    請求項1に記載のDIF FFTステージと、
    (v+s)∧N/2=0である場合には、前記第1および第2の入力サンプルを、それぞれ第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、および(v+s)∧N/2≠0である場合には、前記第2および第1の入力サンプルを、それぞれ前記第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、交換論理回路を制御する論理回路と、その場合∧はビット単位の論理AND演算を表すことと、
    を備えるFFTプロセッサ。
  7. N2進数フーリエ変換に使用する周波数間引き(DIF)高速フーリエ変換(FFT)を行う方法であって、その場合Nは偶数の整数であり、
    前記DIF FFT方法は、
    第1の入力サンプルx(v)と第2の入力サンプルx(v+N/2)とを受信し、前記第1および第2の入力サンプルをそれぞれ第1および第2の交換論理回路出力ポートにて、または代わりに前記第2および第1の入力サンプルをそれぞれ前記第1および第2の交換論理回路出力ポートにて、選択的に提供することと、その場合0≦v<N/2であることと、
    前記第1および第2の交換論理回路出力ポートにより提供された値を加算することと、
    前記第1および第2の交換論理回路出力ポートにより提供された値を減算することにより差分値を生成することと、
    前記差分値に回転因子W (v+s)mod(N/2)を乗算することと、その場合sは、N個の入力サンプルの円移動の量を表す整数であることと、
    を含む方法。
  8. (v+s)modN<N/2である場合には、前記第1および第2の入力サンプルを、それぞれ第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、および(v+s)modN≧N/2である場合には、前記第2および第1の入力サンプルを、それぞれ前記第1および第2の交換論理回路出力ポートにて提供されるようにすること
    を含む請求項7に記載の方法。
  9. 前記入力サンプルの円移動の量を決定し、前記入力サンプルの回転の量と同値であるが反対方向である値にsを設定すること
    を含む請求項8に記載の方法。
  10. 前記入力サンプルの円移動は、不適切に配置されたFFT窓により引き起こされる、請求項9に記載の方法。
  11. 前記入力サンプルの円移動は、大きな遅延拡散を有するチャネルを通した前記入力サンプルの伝播により引き起こされる、請求項9に記載の方法。
  12. (v+s)∧N/2=0である場合には、前記第1および第2の入力サンプルを、それぞれ前記第1および第2の交換論理回路出力ポートにて提供されるようにすることにより、および(v+s)∧N/2≠0である場合には、前記第2および第1の入力サンプルを、それぞれ前記第1および第2の交換論理回路出力ポートにて提供されるようにすることと、その場合∧はビット単位の論理AND演算を表すことと、
    を含む請求項7に記載の方法。
JP2008544853A 2005-12-14 2006-12-12 円高速フーリエ変換 Expired - Fee Related JP4903810B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/302,243 2005-12-14
US11/302,243 US7685220B2 (en) 2005-12-14 2005-12-14 Circular fast fourier transform
PCT/EP2006/011935 WO2007068438A2 (en) 2005-12-14 2006-12-12 Circular fast fourier transform

Publications (2)

Publication Number Publication Date
JP2009519637A JP2009519637A (ja) 2009-05-14
JP4903810B2 true JP4903810B2 (ja) 2012-03-28

Family

ID=38051780

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008544853A Expired - Fee Related JP4903810B2 (ja) 2005-12-14 2006-12-12 円高速フーリエ変換

Country Status (8)

Country Link
US (1) US7685220B2 (ja)
EP (1) EP1960905A2 (ja)
JP (1) JP4903810B2 (ja)
KR (1) KR101229648B1 (ja)
CN (1) CN101331479B (ja)
BR (1) BRPI0619920A2 (ja)
TW (1) TW200741487A (ja)
WO (1) WO2007068438A2 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005045519A1 (de) * 2005-09-23 2007-03-29 Newlogic Technologies Ag Verfahren und Vorrichtung zur FFT Berechnung
DE602006013964D1 (de) * 2006-07-18 2010-06-10 Ericsson Telefon Ab L M Faltung von Eingabedatenwerten für eine Transformation
CN101930426B (zh) * 2009-06-24 2015-08-05 华为技术有限公司 信号处理方法、数据处理方法及装置
CN102592601B (zh) * 2011-01-10 2014-09-17 华为技术有限公司 一种信号处理方法和装置
CN102152910B (zh) * 2011-04-27 2013-04-10 山东电力研究院 滤膜存放箱
CN102955760A (zh) * 2011-08-23 2013-03-06 上海华魏光纤传感技术有限公司 基于dif的基-2并行fft处理器及其处理方法
US11129596B2 (en) * 2016-10-06 2021-09-28 General Electric Company Systems and methods for ultrasound multiplexing

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3638004A (en) * 1968-10-28 1972-01-25 Time Data Corp Fourier transform computer
US3767905A (en) * 1971-05-12 1973-10-23 Solartron Electronic Group Addressable memory fft processor with exponential term generation
US3927312A (en) * 1974-10-31 1975-12-16 Us Army Vector rotator
US4327367A (en) * 1978-04-24 1982-04-27 Electric Power Research Institute, Inc. Thyristor with even turn-on line potential and method with 1-micron to 5-mil wide alignment region band
US4231102A (en) * 1978-12-21 1980-10-28 Raytheon Company Cordic FFT processor
US4563750A (en) * 1983-03-04 1986-01-07 Clarke William L Fast Fourier transform apparatus with data timing schedule decoupling
FR2584213B1 (fr) * 1985-06-28 1994-03-11 Thomson Csf Dispositif de calcul d'une transformee de fourier discrete, glissante, et son application a un systeme radar.
FR2587819B1 (fr) * 1985-09-24 1989-10-06 Thomson Csf Dispositif de calcul d'une transformee de fourier discrete, glissante et non recursive, et son application a un systeme radar
FR2588680B1 (fr) * 1985-10-16 1989-08-25 Thomson Csf Dispositif de calcul d'une transformee de fourier discrete, et son application a la compression d'impulsion dans un systeme radar
US5034910A (en) * 1988-03-14 1991-07-23 E-Systems, Inc. Systolic fast Fourier transform method and apparatus
JPH05158967A (ja) 1991-12-05 1993-06-25 Nec Corp 計算機システム
US5371696A (en) * 1992-12-24 1994-12-06 Sundararajan; Duraisamy Computational structures for the fast Fourier transform analyzers
CN1117681A (zh) * 1994-03-07 1996-02-28 现代电子产业株式会社 定时相位探测器及其定时回复
JP3541526B2 (ja) * 1995-10-24 2004-07-14 日本ビクター株式会社 周波数分割多重信号生成方法及び復号方法
US5717620A (en) * 1995-10-24 1998-02-10 Airnet Communications Corporation Improved-accuracy fast-Fourier-transform butterfly circuit
JP3821316B2 (ja) * 1996-08-06 2006-09-13 ソニー株式会社 演算装置および方法
JP3797400B2 (ja) * 1997-05-23 2006-07-19 ソニー株式会社 演算装置および方法
US6370188B1 (en) * 1999-03-31 2002-04-09 Texas Instruments Incorporated Phase and frequency offset compensation in a telecommunications receiver
FR2794921B1 (fr) * 1999-06-14 2001-09-14 St Microelectronics Sa Procede et dispositif de transformation de donnees reelles en symboles complexes, notamment pour la reception de porteuses modulees en phase et en amplitude et transmises sur une ligne telephonique
US6658441B1 (en) * 1999-08-02 2003-12-02 Seung Pil Kim Apparatus and method for recursive parallel and pipelined fast fourier transform
US6772181B1 (en) * 1999-10-29 2004-08-03 Pentomics, Inc. Apparatus and method for trigonometric interpolation
US6567042B2 (en) * 2000-12-04 2003-05-20 The United States Of America As Represented By The Secretary Of The Air Force Acquisition through circular correlation by partition for GPS C/A code and P(Y) code
US6633616B2 (en) * 2001-02-21 2003-10-14 Magis Networks, Inc. OFDM pilot tone tracking for wireless LAN
US7020218B2 (en) * 2001-06-18 2006-03-28 Arnesen David M Sliding-window transform with integrated windowing
US7020222B2 (en) * 2001-10-24 2006-03-28 Texas Instruments Incorporated Efficient method and system for offset phasor determination
US20030182342A1 (en) * 2002-03-25 2003-09-25 Murphy Charles Douglas Low-cost multiplication in small DFT butterflies
CN1180592C (zh) * 2002-04-01 2004-12-15 北京六合万通微电子技术有限公司 快速傅立叶变换和反变换的模拟数字混合电路及其在通信系统中的应用
EP1516467B1 (en) * 2002-06-27 2023-04-26 Samsung Electronics Co., Ltd. Modulation apparatus using mixed-radix fast fourier transform
JP2004032568A (ja) * 2002-06-28 2004-01-29 Hitachi Kokusai Electric Inc 相関検出装置およびフーリエ変換装置
US7082451B2 (en) * 2002-09-09 2006-07-25 Freescale Semiconductor, Inc. Reconfigurable vector-FFT/IFFT, vector-multiplier/divider
US20050015420A1 (en) * 2003-07-18 2005-01-20 Gibb Sean G. Recoded radix-2 pipeline FFT processor
US8266196B2 (en) * 2005-03-11 2012-09-11 Qualcomm Incorporated Fast Fourier transform twiddle multiplication
US8229014B2 (en) * 2005-03-11 2012-07-24 Qualcomm Incorporated Fast fourier transform processing in an OFDM system
JP4698394B2 (ja) * 2005-11-25 2011-06-08 パナソニック株式会社 高速フーリエ変換回路

Also Published As

Publication number Publication date
KR101229648B1 (ko) 2013-02-04
CN101331479B (zh) 2012-01-11
TW200741487A (en) 2007-11-01
EP1960905A2 (en) 2008-08-27
JP2009519637A (ja) 2009-05-14
WO2007068438A2 (en) 2007-06-21
US20070133389A1 (en) 2007-06-14
WO2007068438A3 (en) 2008-06-05
CN101331479A (zh) 2008-12-24
BRPI0619920A2 (pt) 2011-10-25
KR20080078062A (ko) 2008-08-26
US7685220B2 (en) 2010-03-23

Similar Documents

Publication Publication Date Title
Nadal et al. Low-complexity pipelined architecture for FBMC/OQAM transmitter
JP4903810B2 (ja) 円高速フーリエ変換
US7693034B2 (en) Combined inverse fast fourier transform and guard interval processing for efficient implementation of OFDM based systems
US6122703A (en) Generalized fourier transform processing system
Al-Jawhar et al. Reducing PAPR with low complexity for 4G and 5G waveform designs
US9154347B2 (en) Adaptive equalizer
KR20120070746A (ko) 고속 푸리에 변환 수행 방법 및 장치
KR100720949B1 (ko) 직교 주파수 분할 다중화 시스템에서의 고속 푸리에 변환프로세서 및 그 변환 방법
Dora et al. Low complexity implementation of OTFS transmitter using fully parallel and pipelined hardware architecture
WO2016166821A1 (ja) 送信装置、受信装置および通信システム
KR100576520B1 (ko) 반복 연산 기법을 이용한 가변 고속 푸리에 변환프로세서
Chang et al. FPGA-based Design of a Pulsed-OFDM System
Yuan et al. A 256-point dataflow scheduling 2× 2 MIMO FFT/IFFT processor for IEEE 802.16 WMAN
Zhana et al. Implementation of frequency offset correction using CORDIC algorithm for 5 GHz WLAN applications
JP2011071855A (ja) 送信装置
Lai et al. The Design and Implementation of a Highly Efficient and Low-Complexity Joint-MMSE GFDM Receiver
US20030023779A1 (en) Symbol window correlative operation circuit and address generation circuit therefor
Zou et al. 32 mW self contained OFDM receiver ASIC for mobile cellular applications
KR100766571B1 (ko) 오에프디엠(직교주파수분할다중화) 신호 생성 방법 및 장치
Kumar et al. COMPARATIVE STUDY OF FFT/IFFT PROCESSOR FOR HIGH THROUGHPUT-RATE APPLICATION
JP3964275B2 (ja) シンボル窓タイミング適応制御形演算回路およびそれに用いるアドレス発生回路
Dasalukunte et al. IOTA Pulse-Shaping Filters in FTN Multi-Carrier Systems

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111206

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120105

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees