JP4791954B2 - コンパクタを使用する試験応答の圧縮 - Google Patents

コンパクタを使用する試験応答の圧縮 Download PDF

Info

Publication number
JP4791954B2
JP4791954B2 JP2006503551A JP2006503551A JP4791954B2 JP 4791954 B2 JP4791954 B2 JP 4791954B2 JP 2006503551 A JP2006503551 A JP 2006503551A JP 2006503551 A JP2006503551 A JP 2006503551A JP 4791954 B2 JP4791954 B2 JP 4791954B2
Authority
JP
Japan
Prior art keywords
values
output
compactor
test
network
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006503551A
Other languages
English (en)
Other versions
JP2006518855A (ja
JP2006518855A5 (ja
Inventor
ラジェスキー,ヤヌーシュ
ティスザー,イェジ
ウァン,チェン
ムルガルスキ,グジェゴシュ
ポギエル,アルトゥル
Original Assignee
メンター・グラフィクス・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by メンター・グラフィクス・コーポレーション filed Critical メンター・グラフィクス・コーポレーション
Publication of JP2006518855A publication Critical patent/JP2006518855A/ja
Publication of JP2006518855A5 publication Critical patent/JP2006518855A5/ja
Application granted granted Critical
Publication of JP4791954B2 publication Critical patent/JP4791954B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318566Comparators; Diagnosing the device under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/31917Stimuli generation or application of test patterns to the device under test [DUT]
    • G01R31/31919Storing and outputting test patterns
    • G01R31/31921Storing and outputting test patterns using compression techniques, e.g. patterns sequencer
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/38Response verification devices
    • G11C29/40Response verification devices using compression techniques
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/48Arrangements in static stores specially adapted for testing by means external to the store, e.g. using direct memory access [DMA] or using auxiliary access paths
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31703Comparison aspects, e.g. signature analysis, comparators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/30Accessing single arrays
    • G11C2029/3202Scan chain

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Investigating Strength Of Materials By Application Of Mechanical Stress (AREA)
  • Steroid Compounds (AREA)
  • Curing Cements, Concrete, And Artificial Stone (AREA)

Description

(関連出願の相互参照)
本出願は、2003年2月13日に出願された米国仮特許出願第60/447,637号明細書の利益を主張し、かつ2003年9月26日に出願された米国仮特許出願第60/506,499号明細書の利益を主張する。両方とも、参照によって本明細書に組み込まれている。
(技術分野)
本発明は、一般に、集積回路の試験に関し、より具体的には、試験中回路からの試験データの圧縮に関する。
集積回路が、よりいっそう高いレベルの回路密度で生産されるようになるにつれ、高い故障カバレージを保証し、一方、試験コストおよびチップエリアオーバーヘッドを最小限に抑える効率的な試験方式が、必須となった。チップの上に配置することができるトランジスタの数は、ムーアの法則によって予測されるように、ほぼ18カ月ごとに2倍になっている。そのような大量の複雑なチップを試験するために必要とされるデータ量は、さらにより迅速に増大している。実際には、非常に大きな集積回路では、試験コストが、設計コストに近付いている(また、さらには超える可能性がある)。試験データの量の迅速な増大に対処するために、いくつかの圧縮方式が開発されてきた。
多くの集積回路は、構造化試験容易化設計(「DFT」、design−for−testability)の技法を使用して試験される。これは、いくつかまたはすべての状態変数(たとえば、フリップフロップおよびラッチなどのメモリ要素)を直接制御可能および観測可能とする一般的な概念を使用する。回路は、組合せ故障の試験に関する限り、組合せネットワークまたはほぼ組合せのネットワークとして扱われることが好ましい。最もしばしば使用されるDFT法は、試験中、すべて(またはほとんどすべて)のメモリ要素が、「走査チェーン」と呼ばれる1つまたは複数のシフトレジスタに接続されることを想定する。たとえば、米国特許第4,503,537号明細書を参照されたい。
走査チェーンを試験に使用する回路は、通常、標準モードおよび試験(または走査)モードの2つの基本的な動作モードを有する。標準モードでは、メモリ要素は、正規の機能を実施する。走査モードでは、メモリ要素は、いくつかの走査チェーンを形成するように接続される走査セルとなる。これらの走査チェーンを使用して、1組の試験パターンを回路内にシフトさせ、さらに、回路(または試験)応答を試験パターンにシフトさせる。次いで、試験応答を無故障応答と比較して、試験中回路(「CUT」、circuit−under−test)が適切に機能するかを判定する。
走査設計方法は、簡単な自動試験パターン生成(「ATPG」、automatic test pattern generation)およびケイ素デバッギング能力により広く使用されている。現在、ATPGソフトウェアツールは、非常に効率的なので、縮退故障および遷移故障を含めて、いくつかのタイプの故障モデルのほぼ完全な故障カバレージを保証する試験の組(試験パターンの集合体)を生成することが可能である。また、経路遅延故障および架橋故障など、他の故障モデルも対象にすることが可能である。通常、回路における特定の潜在的な故障が、ATPGツールによって対象とされるとき、特定の故障を検出するために、ごく少数の走査セル(たとえば、2〜5%)を特定するだけでよい。これらの走査セルは、「決定論的特定セル」と呼ばれる。次いで、「無作為特定セル」と呼ばれる無作為2進数値で、走査チェーンの残りの走査セルを埋めることができる。これにより、完全に特定され、追加の故障を検出する可能性がより高く、かつ試験装置に記憶することができるセルとなる。
図1は、デジタル回路を走査チェーンで試験するための従来のシステム100のブロック図である。この図および他の図において、十字が内接する円112によって2入力XORゲートを表す。通常の走査モード中、外部自動試験機器(「ATE」、automatic test equipment)または試験装置102が、1組の完全特定試験パターン104を回路内の走査チェーン108を介してCUT106に適用する。走査チェーン108に試験パターン104をロードした後、CUT106は、試験パターンを入力値に使用して、標準モードで実行される。CUT106は、指定時間期間(たとえば、1クロックサイクル)の間実行することが可能であり、その後、試験パターンに対する試験応答は、走査チェーン108に記憶される。回路が再び走査モードになるので、試験応答は、再び試験装置102に経路指定され、試験装置102は、捕獲した試験応答を無故障基準応答110と比較する。この手法の著しい限定は、大量のメモリを必要とする高価な試験装置、および複雑な回路を試験する長い試験時間を必要とすることである。たとえば、試験データの量は、大規模設計では、単一論理ゲートあたり1キロバイトを超えることがあることが報告されている。必要とされる多数の試験パターンを記憶することが困難であり、かつ試験の適用自体に過度に時間がかかるので、この方法を使用して大規模回路を試験することは望ましくない。
これらの時間および記憶の限定は、図2に示す組込み型自己試験(「BIST」、bult−in self−test)フレームワークを使用することによって、ある程度まで克服することができる。BISTでは、試験パターンを生成し、試験応答を評価し、および試験を制御するために、追加のオンチップ回路が含まれる。たとえば、決定論的試験パターンを記憶する代わりに、擬似無作為パターン生成装置200(「PRPG」、pseudo−random pattern generator)を使用して、試験パターンを生成する。さらに、複数入力シグネチャレジスタ(「MISR」、multiple input signature register)202を使用して、試験応答から結果的なシグネチャを生成し、記憶することができる。図5に示すようなMISRは、データを圧縮し、走査チェーン入力502およびフィードバック504、506に基づいてシグネチャを生成する。
CUTにおいて大量の試験データを処理する必要があるので、走査チェーンからの試験応答を圧縮するために、コンパクタ(compactor)がしばしば使用される。これにより、回路が欠陥を有するかの判定、および発見されるあらゆる問題の特定が非常に簡単になる。現在利用可能な試験応答コンパクション方式は、以下の2つのクラスにグループ分けすることができる:(1)無限入力応答コンパクション方式(「時間コンパクタ」)としても既知である)、および(2)空間コンパクション方式(「空間コンパクタ」または「線形コンパクタ」としても既知である)。
時間コンパクタは、通常、試験の結果を表すシグネチャを記憶するメモリ要素を備えるフィードバック構造を有する。シグネチャが完成した後、シグネチャを読み取り、無故障シグネチャと比較して、エラーが試験中回路に存在するかを判定する。時間コンパクタは、多項式除算、カウントに基づく技法、および検査合計に基づく方法を使用することが可能であり、通常、BISTの応用分野において使用される。実際のコンパクションは、通常、線形フィードバックシフトレジスタ(「LFSR」、linear feedback shift register)、MISR、またはセルラ自動装置など、線形有限状態機械によって実施される。これらの方式は、ギガビットの試験応答データを、通常32ビット長、64ビット長、または128ビット長である小さいシグネチャにコンパクト化することができ、それにより、10と10との間のコンパクション比を達成する。これは可能であるが、その理由は、エラーは、このタイプのコンパクタに注入された後は、そのエラーを他のグループのエラーがエイリアシング(aliasing)のまれな場合に消去するまで、存在し続けるからである。無限入力応答コンパクション方式は、いくつかの欠点を提示する。具体的には、このタイプのコンパクション方式は、試験応答のあらゆる未知状態に対処することができない。これらのシステムは、すべて、フィードバックを含むので、未知状態は、コンパクタがリセットされるまで、コンパクタにおいて永続的に循環する。したがって、数サイクル後には、すべての状態が未知となることがあり得、それにより、試験シグネチャは破損され、試験は無用になる。故障診断も、事前コンパクト化応答への直接アクセスを有する複数のパスを必要とするので、より複雑になる。
LFSRは、中でも、集積回路において使用される最も一般的な時間コンパクタである。LFSRは、擬似無作為試験シーケンスを生成する。基本形態では、LFSR(図3に示す)は、多項式除算器として作用するために、外部入力を受け取るように修正される。代替実施態様を図4に示す。多項式によってあらわす入力シーケンスは、LFSRの特徴的な多項式によって除算される。除算が進行するにつれ、商シーケンスが、LFSRの出力において生じ、残りは、LFSRに維持される。試験が完了した後、LFSRの内容は、シグネチャとして扱うことができる。
図5は、他の時間コンパクタを示す(LFSRに基づくコンパクタの自然な拡張である)。これは、複数入力LFSRと呼ばれ、複数入力シグネチャレジスタまたはMISRとしても既知である。MISRを使用して、図5に示す複数走査チェーン環境において回路を試験することが可能である。MISRは、CUT走査チェーン出力およびシフトレジスタのフリップフロップに結合されるいくつかのXORゲートを装備する。
コンパクション方式においてメモリ要素を使用する時間コンパクタとは対照的に、空間コンパクタは、XORネットワークから主に構築される組合せ回路を備える。XORネットワークは、n<mとして、CUTのmの1次出力からnの試験出力を生成するように構成される。空間コンパクタは、通常、時間コンパクタより小さいコンパクション比を提供するが、回路を修正せずに、試験応答におけるいくつかの未知状態に対処することができる。空間コンパクタと時間コンパクタとの他の相違は、空間コンパクタの出力値が、コンパクタへの唯一の入力の変化に付随して変化し、時間コンパクタにおける圧縮後には検出不可能である単独のエラーを検出可能とすることである。時間コンパクタでは、入力値の変化は、圧縮によってあいまいになる可能性があり、したがって、コンパクタの出力において検出されないことになる。しかし、空間コンパクタでも、エラーをマスクすることがある。たとえば、空間コンパクタにおいて通常使用されるXOR(パリティ)ツリーの1つの基本的な特徴は、入力におけるエラーのあらゆる奇数の組合せが出力に伝播するが、エラーのあらゆる偶数の組合せは、検出されないままであるということである。たとえば、空間コンパクタが2つのエラーを同時に読み取るとき、複数のエラーは、故障回路の圧縮シグネチャが無故障回路のシグネチャを模倣するように、互いを消去する可能性がある。この現象は、第1エラー状態値が第2エラー状態値を消去することを含むので、「エラーマスキング」または「エイリアシング」として既知である。エイリアシングは、出現の可能性の観点からしばしば測定される。
空間コンパクタは、ANDゲート、ORゲート、NANDゲート、およびNORゲートなどの基本的なゲートを備える多重化パリティツリーまたは非線形ツリーに基づいて、米国特許第5,790,562号明細書において示されているように、エイリアシング現象を低減するために、所与のCUTに特化させることができる。図6は、修正された空間コンパクタの一例を示す。具体的には、図6は、XORゲートのステージを分離するフリップフロップのバンクを含むパイプライン化空間コンパクタを示す。クロック(図示せず)が、フリップフロップを制御し、圧縮出力を読み取る前に1サイクル遅延を可能にする。Xコンパクト方式として既知の他の空間圧縮技法が、最近提案された。S.MitraおよびK.S.Kimの「X−Compact:An Efficient Response Compaction Technique for Test Cost Reduction」、Proc.ITC、311〜320ページ(2002年10月)を参照されたい。いわゆる「Xコンパクタ」は、メモリおよびフィードバックを有さないと理解される。したがって、Xコンパクタの出力は、現行Xコンパクタ入力を表し、出力に存在するあらゆるエラーは、単一クロックサイクル後、クロックアウトされる。しかし、以下でより完全に説明するように、Xコンパクト方式において実現することができるコンパクションの量は、コンパクタの出力数によって厳しく限定される。
上述した空間コンパクタのいずれかでは、未知状態の存在は、精確なエラー検出にとって問題となることがある。たとえば、XORツリーの1つまたは複数の入力における未知状態が、出力において未知の値を生成し、その結果、他の入力においてあらゆる故障の伝播をマスクする。したがって、Xコンパクタおよび図6のコンパクタなどの空間コンパクタの1つの限定は、未知状態が故障カバレージを低減することがあることである。さらに、図3、4、および5に示したような時間コンパクタは、あらゆる入力における未知状態が、コンパクタによって生成される圧縮出力を破損させることがあるので、未知状態に対処する能力が制約される。さらに、エラーが集積回路内において検出された場合、空間コンパクタおよび時間コンパクタの両方とも、故障を特定する限定された能力を有する。
(概要)
本開示は、デジタル回路の試験結果を圧縮するコンパクタの実施形態、およびそのような実施形態を動作ならびに設計する方法について記述する。開示するコンパクタは、たとえば、走査に基づく設計の一部として使用することができる。開示するコンパクタのいずれも、電子設計自動化(「EDA」、electronic−design−automation)ソフトウェアツールなど、コンピュータ実行アプリケーションにおいて設計、シミュレーション、および/または検査することができる。同様に、開示する設計または診断方法のいずれも、コンピュータ実行アプリケーションにおいて実施および/またはシミュレーションすることができる。
開示する技術を使用して試験中回路(「CUT」)を試験する方法の様々な実施形態について記述する。たとえば、一実施形態では、CUTから1組の試験応答値が提供される。この試験応答値の組は、複数のS試験応答値を備える。S試験応答値は、複数のV拡張試験値に拡張され、VはSより大きい。T1次中間値が、V拡張値の少なくともいくつかから生成される。U2次中間値が、T1次中間値の少なくとも一部を以前に記憶された中間値と組み合わせることによって生成される。U2次中間値の少なくとも1つが、1つまたは複数のクロックサイクルの間記憶される。U2次中間値によって少なくとも部分的に決定されるB出力値が、2つ以上のクロックサイクルの観測期間中に出力される。観測期間におけるB出力値は、試験応答値の組に存在する1、2、および奇数のエラーを表す。
他の例示的な実施形態では、集積回路の試験応答を圧縮する方法が提供される。この実施形態では、試験値が、走査チェーンのアンロード期間中に、走査チェーンの複数の走査セルの1つから入力される。試験値によって少なくとも部分的に決定される少なくとも2つの中間値がロジックによって生成される。決定値の少なくとも一部分が複数のメモリ要素にロードされる。中間値によって少なくとも部分的に決定される1組の少なくとも2つの出力値が生成される。少なくとも2つの出力値の組は、中間値によって少なくとも部分的に決定されるすべての出力値を備える。少なくとも2つの出力値の組は、観測期間にわたって出力される。この実施形態では、観測期間は、少なくとも2つのクロックサイクルを備え、アンロード期間が終了する前に終了する。
集積回路の試験応答を圧縮する他の方法が、他の例示的な実施形態において提供される。この実施形態では、試験値が、アンロード期間中に走査チェーンの複数の走査セルの1つから、組合せ論理を備えるネットワークに入力される。試験値は、組合せ論理を備えるネットワークの少なくとも2つのファンアウト(fan−out)により拡張される。2つ以上の中間値が、拡張試験値から生成される。中間値は、複数のメモリ要素にロードされる。2つ以上の出力値が、少なくとも2つのクロックサイクルの観測期間にわたって、複数のメモリ要素から出力される。出力値は、試験値によって少なくとも部分的に決定され、この実施形態では、出力値の数は、ファンアウトの数に等しい。
集積回路を試験する方法の他の例示的な実施形態では、複数の試験値が、試験中回路の走査チェーンにおいて捕獲される(試験値は、試験パターンに応答する回路に関連する)。試験値は、走査チェーンからコンパクタ内にクロックされる。2つ以上の出力値の組が、コンパクタにおいて生成される。各組は、コンパクタにおいて生成され、かつそれぞれの試験値によって少なくとも部分的に決定されるすべての値を備える。組の少なくとも1つは、走査チェーンにおいて捕獲された試験値のすべてがコンパクタ内にクロックされる前に、少なくとも2つのクロックサイクルにわたってコンパクタから出力される。
試験応答を圧縮する方法の他の実施形態では、試験応答の第1部分および試験応答の第2部分が、組合せ論理を備えるネットワークに注入される。第1組の2つ以上の1次中間値が、ネットワークにおいて第1組のネットワーク出力に論理的に生成される。第1組の1次中間値は、試験応答の第1部分によって少なくとも部分的に決定される。第2組の2つ以上の1次中間値も、ネットワークにおいて第2組のネットワーク出力に生成される。同様に、第2組の1次中間値は、試験応答の第2部分によって少なくとも部分的に決定される。第2組のネットワーク出力は、第1組のネットワーク出力と互いに排他的である少なくとも1つのネットワーク出力を有することを特徴とする。第1組の1次中間値は、第1組の2次中間値を生成するように、以前に記憶された値の第1組と少なくとも部分的に組み合わされる。同様に、第2組の1次中間値は、第2組の2次中間値を生成するように、以前に記憶された値の第2組と少なくとも部分的に組み合わされる。第1組の2次中間値は、第1組のネットワーク出力に結合された第1組のメモリ要素にロードされ、第2組の2次中間値は、第2組のネットワーク出力に結合された第2組のメモリ要素にロードされる。この実施形態では、第2組のメモリ要素は、第1組のメモリ要素に対してシフトしていない1組のメモリ要素を備える。
集積回路の試験応答を圧縮する様々な装置も開示する。たとえば、1つの例示的な実施形態によれば、装置は、複数のメモリ要素、および組合せ論理を備える注入装置ネットワークを備える。注入装置ネットワークは、注入装置ネットワーク出力および注入装置ネットワーク入力を含む。各注入装置ネットワーク出力は、メモリ要素のそれぞれに結合され、各注入装置ネットワーク入力は、それぞれの注入装置多項式に従って、2つ以上の注入装置ネットワーク出力に論理的に結合される。この実施形態では、それぞれの注入装置多項式は、メモリ要素において1、2、および奇数のエラーマスキングを防止するように選択される。他の実施形態では、それぞれの注入装置多項式は、メモリ要素において未知の値のマスキングを防止するように選択される。
開示するコンパクタの実施形態を設計する方法も記述する。たとえば、コンパクタを設計する1つの例示的な方法によれば、試験中回路に関する設計データが入力される。設計データは、試験中回路における走査チェーン出力の数を備える。試験中回路の走査チェーン出力をコンパクタの複数のメモリ要素に接続する少なくとも2つの注入装置多項式が生成される。少なくとも2つの注入装置多項式は、複数のメモリ要素において、1、2、および奇数のエラーマスキングを防止するように構成される。この実施形態では、複数のメモリ要素は、無フィードバックネットワークによって共に結合される。多項式の少なくとも1つが選択される。
試験応答を圧縮するコンパクタを設計する方法の他の例示的な実施形態では、走査チェーンの出力をコンパクタにおける複数のメモリ要素の組合せに結合するために、非マスキングパターンを表す妥当多項式のリストが生成される。多項式の1つが、妥当多項式のリストから選択される。選択された多項式は、XOR演算またはXNOR演算を使用して、2つ以上の以前に選択された多項式と論理的に組み合わされる。この演算は、選択多項式をマスクする禁止多項式を決定する。禁止多項式は、妥当多項式のリストから除外される。
開示する実施形態のいずれかのコンパクタは、少なくとも1つのフィードバックループを形成するメモリ要素を有することができる。開示するコンパクタのいずれも、複数入力シグネチャレジスタ(「MISR」)、走査チェーン出力に結合されたバイパスネットワーク、走査チェーン出力の1つまたは複数の選択マスキングを可能にする(および、走査アドレス・レジスタまたはカウンタをさらに含み、かつ部分走査モードで動作することができる)選択回路をさらに備えることができる。開示するコンパクタのいずれも、直列入力並行出力レジスタもしくは他のタイプのレジスタを介して、走査チェーンまたは他の試験応答出力に結合することができ、または、走査チェーン内の複数の走査セルに結合することができる。
開示する実施形態のいずれも、コンピュータ可読媒体に記憶されたコンピュータ実行可能命令でプログラムされるコンピュータによって実施することが可能である。これらの実施形態では、コンピュータ実行可能命令により、コンピュータは、開示する実施形態のいずれかを実施、設計、またはシミュレーションする。さらに、開示する実施形態のいずれかを使用して、コンピュータ可読媒体に記憶されている回路設計情報を更新または修正することができる。したがって、本明細書において記述する開示されるコンパクタを含む回路設計を記憶する修正設計データベースも開示する。開示する実施形態のいずれかをネットワークを介して実施、設計、またはシミュレーションすることができる。開示する方法のいずれかを実施するように構成された集積回路も開示する。
開示する実施形態の以上および追加の特徴および利点は、以下の図面を参照して進行する以下の詳細な記述からより明らかになるであろう。
以下において、決して限定的に構築されるべきではないコンパクタの代表的な実施形態およびそのような実施形態を使用ならびに設計する方法を開示する。代わりに、本開示は、単独および互いの様々な組合せならびに準組合せの状態にある様々な開示される装置および方法の新規で非自明の特徴および態様を対象とする。本発明は、あらゆる特定の態様または特徴もしくはその組合せに限定されず、また、開示する方法および装置は、いずれか1つまたは複数の特定の利点が提示され、あるいは問題が解決されることを必要としない。
開示する方法および装置のいくつかの動作について、提示に好都合であるように特定の連続的な順序で記述するが、この記述の方式は、以下で記述する特有の言葉によって特定の順序が必要とされない限り、再構成を包含することを理解されたい。たとえば、順次記述する動作は、いくつかの場合、再構成する、または同時に実施することが可能である。さらに、簡略化のために、添付の図面は、開示する方法および装置を他の方法および装置と関連して使用することができる様々な方式を示さないことがある。さらに、記述は、開示する方法を記述するために、「決定する」および「評価する」という用語を使うことがある。これらの用語は、実施される実際の動作を高レベルに要約したものである。これらの用語に対応する実際の動作は、特定の実施態様に応じて変化し、当業者なら容易に区別可能である。
開示するコンパクタの実施形態は、走査に基づく試験を使用する広範な集積回路において実施することができる(たとえば、特定用途向け集積回路(ASIC)(混合信号ASICを含む)、システムオンチップ(SoC)、またはフィールドプログラム可能ゲートアレイ(FPGA)などのプログラム可能論理装置(PLD))。
本明細書において記述する装置のいずれも、コンピュータ可読媒体に記憶されたコンピュータ実行可能命令を備えるソフトウェアを使用して設計、検査、および/またはシミュレーションすることができる。そのようなソフトウェアは、たとえば、設計、検査、またはシミュレーションのツールなど、電子設計自動(EDA)ソフトウェアツールを備えることができる。同様に、本明細書において記述する方法のいずれも(たとえば、故障診断方法または多項式選択方法)、コンピュータ可読媒体に記憶されたコンピュータ実行可能命令を備えるソフトウェアを使用して実施することができる。あらゆるそのようなソフトウェアは、単一コンピュータ上またはネットワークコンピュータ上で実行することができる(たとえば、インターネット、ワイドエリアネットワーク、ローカルエリアネットワーク、クライアントサーバネットワーク、または他のそのようなネットワークを介して)。明瞭化のために、ソフトウェアに基づく実施態様のある選択された態様のみを記述する。当技術分野において周知の他の詳細については省略する。たとえば、開示する技術は、あらゆる特定のコンピュータ言語、プログラム、またはコンピュータに限定されないことを理解されたい。同じ理由で、コンピュータハードウェアについては、さらに詳細には記述しない。
さらに、開示する装置のいずれも、回路設計情報としてコンピュータ可読媒体に記憶することができる。たとえば、開示するコンパクタのいずれかの設計情報を含むように、設計情報を含むデータベース(たとえば、ネットリストまたはHDLデータベース)を創出または更新することができる。同様に、開示する故障診断手続きのいずれかからの結果を含むデータベースを創出または更新して、コンピュータ可読媒体に記憶することができる。そのようなデータベースは、ローカルコンピュータにおいて、またはネットワーク上で、創出または更新することができる(たとえば、サーバコンピュータによって)。
開示するコンパクタの例示的な実施形態の一般的なアーキテクチャ
このセクションでは、開示するコンパクタの代表的な実施形態を導入する。これらの実施形態は、決して限定的に構築されるべきではなく、開示するアーキテクチャで実現することができる特徴のいくつかを示す。
図7は、開示するコンパクタの代表的な実施形態を使用する集積回路700を示す。集積回路700は、たとえば複数走査チェーン702を有する試験中回路(「CUT」)704を含む。4つの走査チェーンのみが図7に示されているが、CUT704は、任意の数の走査チェーンを含むことができる。コンパクタ706が、走査チェーン702の出力に結合され、注入装置ネットワーク708、メモリ要素710、および少なくとも1つのコンパクタ出力714を備える。試験パターンが、ATEまたは擬似無作為パターン生成装置(「PRPG」)などの試験パターン源(図示せず)から走査チェーン702にロードされる。CUT704の標準動作モード中、試験パターンは、CUT704のコア論理に送り込まれ、試験応答が、走査チェーン702のメモリ要素において捕獲される。試験応答は、CUT704のコア論理の故障またはエラーに関連する情報を含む。次いで、これらの試験応答は、走査チェーン702の外部にロードされ、コンパクタ706によって圧縮される。この開示の目的では、「試験応答」という用語は、CUT704の走査チェーンのメモリ要素において捕獲される試験パターンに対する回路応答全体を指す。「試験値」または「試験応答値」という用語は、試験パターンに応答して走査チェーンの単一メモリ要素(または走査セル)によって捕獲される単一の値を指す。最後に、「1組の試験値」は、1クロックサイクルにおいて走査チェーンの外部にシフトされる複数の試験値を指す。
試験応答値は、たとえば、走査チェーンのメモリ要素が初期化されていないときなどに生じることがある未知状態(本明細書では「X状態」と呼ぶことがある)を含むことができる。コンパクタ706の動作中、走査チェーン702の出力からの試験応答が、注入装置ネットワーク708の入力に注入され(提供され、そうでない場合は送達され)、そこで、試験応答は処理され、他の値と組み合わされて中間値を形成する。この中間値は、注入装置ネットワーク出力712において注入装置ネットワーク708から出力される。注入装置ネットワーク708によって創出された中間値は、メモリ要素710に入力される。以下でより完全に記述するように、メモリ要素710は、様々なメモリ装置のいずれかを備えることができ(たとえば、エッジトリガ・フリップフロップ、マスタスレーブ・フリップフロップ、ラッチ、またはあらゆる他のそのような適切な順次装置もしくはクロック装置)、いくつかの実施形態では、様々な組合せまたは準組合せにおいて互いに結合して、1つまたは複数のレジスタを形成することが可能である。メモリ要素は、少なくとも1つのコンパクタ出力714を生成するようにクロックされる(たとえば、いくつかの実施形態では、連続的にシフトされる)。
図8は、例示的なコンパクタの1つの形態をより詳細に示す。具体的には、図8は、直接経路804〜806およびXORゲートを含む経路807〜812を介してメモリ要素814に結合された出力を有する注入装置ネットワーク800を示す。図示した実施形態のメモリ要素は、論理ゲート816の無フィードバックネットワークによって直列に接続される。図示した実施形態では、論理ゲート816はXORゲートであるが、XNORゲートまたは論理ゲートのある他の組合せを備えることもできる。直列接続メモリ要素は、少なくとも1つの関連するコンパクタ出力820を生成する。
図9は、コンパクタの開示する実施形態において使用することができる注入装置ネットワーク900の例示的な実施形態を示す。例示的な注入装置ネットワーク900は、各走査チェーン出力928(図9では走査チェーン出力「1〜16」と名称付けされている)が、複数のメモリ要素902(図9ではメモリ要素「M1〜M6」と名称付けされている)に結合されるように構成される。図示した実施形態では、メモリ要素902は、2つのレジスタ904、906を備える。具体的には、メモリ要素M1〜M3は、第1レジスタ904を形成し、メモリ要素M4〜M6は、第2レジスタ906を形成する。しかし、この構成は、限定的ではなく、メモリ要素902は、長さが異なるレジスタを形成する、またはレジスタをまったく形成しないように構成することができる。レジスタ904、906は、コンパクタ出力910、912をそれぞれ有する。
図示した実施形態では、注入装置ネットワーク900は、格子(本明細書では「空間時間」格子と呼ぶことがある)を備え、この格子は、走査チェーン出力928から出力された試験値をメモリ要素902内に分配する。図9では、たとえば、各走査チェーン出力928は、注入装置ネットワーク900を介して3つのメモリ要素902に結合され、したがって、3つのファンアウトを有すると言うことができる(走査チェーン出力は、いくつかの実施形態では、他の構成要素にファンアウトすることが可能であることに留意されたい)。図9からわかるように、注入装置ネットワーク900は、走査チェーン出力928をメモリ要素902と結合する複数のゲート920および接合部921を含む。注入装置ネットワーク900は、いくつかの実施形態では、様々な追加の構成要素を含むことが可能である。たとえば、注入装置ネットワーク900は、試験応答値のパイプライン構造を創出するために使用されるメモリ要素の1つまたは複数のバンクを含むことが可能である。ゲート920は、2入力XORゲート、XNORゲート、またはあらゆる他の適切な論理ゲートを備えることが可能である。各ゲート920または接合部921は、mをメモリ要素、sを特定のゲートまたは接合部を経て指定されたメモリ要素に接続された走査チェーンとして、(m,s)と名称付けすることができる。したがって、たとえば、走査チェーン出力「15」(矢印922によって示す)は、接合部(M1,15)およびゲート(M3,15)ならびに(M6,15)を介して、メモリ要素M1、M3、およびM6に結合される。同様に、走査チェーン出力「2」(矢印924によって示す)は、ゲート(M1,2)、(M2,2)、および(M3,2)を介して、メモリ要素M1、M2、M3に結合される。さらに、図9に示したように、ある走査チェーン出力928が同じメモリ要素に結合されるので、所与の走査チェーン出力928からそれぞれのメモリ要素への経路は、いくつかのゲート920を含む可能性がある。たとえば、走査チェーン出力「2」が、2つのゲート(M1,2)および(M1,1)を介してメモリ要素M1に結合される。さらに、注入装置ネットワーク900の出力930を、ゲート914を介してレジスタ904、906のメモリ要素902に結合することができる。図9では、たとえば、例示的なゲート914は、メモリ要素902を互いに直列に結合し、かつ注入ネットワーク出力930と結合する2入力XORゲートである。
図26は、例示的なコンパクタの動作を示すフローチャート2600である。プロセス・ブロック2602において、試験応答値が、上述した注入装置ネットワーク900など、組合せ論理を備えるネットワークに提供される。たとえば、CUTの走査チェーンをクロックして(たとえば、単一クロックサイクルにわたって)、1組の試験応答値をネットワークに提供することができる。試験応答値は、代替として、ある他の適切な機構を介してネットワークに提供することができる(たとえば、走査チェーン出力から試験応答値をロードしたレジスタから、(順次または並行して)、その値をネットワークに提供する)。
プロセス・ブロック2604において、試験応答値は、複数の拡張試験値に拡張される。たとえば、図9に示した実施形態では、走査チェーン出力928によって提供された試験値は、ネットワーク900に入力され、そこで、試験値は、それぞれの走査チェーン出力928に結合されたゲート920によって、複数の値(本明細書では「拡張値」または「拡張試験値」と呼ばれる)に拡張される。ある実施形態では、拡張値の数は、走査チェーン出力の数より大きいことが望ましい。
プロセス・ブロック2606において、拡張値の少なくともいくつかは、ゲート920を介して他の拡張値と組み合わされて、注入装置ネットワーク出力930において中間値を形成する。注入装置ネットワーク出力930において出現する中間値は、本明細書では、「1次中間値」と呼ぶことがある。
プロセス・ブロック2608において、注入装置ネットワーク出力からの1次中間値の一部は、以前に記憶された中間値とゲート914を介して組み合わされ、たとえば、それにより、新しい中間値を形成する。新しい中間値は、以前に記憶された値とゲート914を介して組み合わされていないあらゆる1次中間値と共に、「2次中間値」と集団的に呼ぶことができる(1次中間値および2次中間値は、「中間値」と集団的に呼ばれることがあることに留意されたい)。
プロセス・ブロック2610において、2次中間値は、次のクロックサイクル中にメモリ要素にロードされる。メモリ要素および走査チェーンは、各クロックサイクルが、試験値の新しい組を注入装置ネットワークに導入し、一方、以前に記憶された中間値を、メモリ要素を経てコンパクタ出力に同時に進行させるように、共にクロックされる(いくつかの実施形態では、レジスタ904、906の最終メモリ要素(図9では、たとえば、メモリ要素M1およびM4)は、所望の機能を失わずに、コンパクタ900から取り外すことが可能であることに留意されたい。これらの実施形態では、2次中間値の一部のみが、メモリ要素にロードされる)。
図9から一般的にわかるように、注入装置ネットワーク900は、試験応答値の所与の組に対応する中間値をメモリ要素902に送達し、それにより、試験値の組によって少なくとも部分的に決定されるすべての情報は、あるクロックサイクル期間にコンパクタがクロックされるまで、図示したコンパクタの実施形態から出力されない。特定の試験応答値に関連する情報を出力するのに必要なクロックサイクルの期間は、本明細書では、試験値の「観測期間」または「観測窓」と呼ぶことがある。図9に示した特定の実施形態では、たとえば、走査チェーン924(走査チェーン「2」)からの試験応答値の観測期間は3であり、一方、走査チェーン925(走査チェーン「1」)からの試験応答値の観測期間は2である。その結果、コンパクタによって生成され、かつ特定の試験応答値に関連する出力値の組は、固定長を有する(たとえば、直列結合されたメモリ要素の数に応じて)。ある実施形態では、出力値の組は、少なくとも2の固定長を有することが望ましい。すなわち、観測期間は、少なくとも2クロックサイクルである。
コンパクタの動作中、試験応答値に関連する観測期間は、通常、走査チェーンが特定の試験応答のアンロードを終了する前に、コンパクタからクロックアウトされる。すなわち、多くの試験応答値では、対応する試験応答値に関連する観測期間は、走査チェーンのアンロード期間が終了する前に終了する。さらに、注入装置ネットワークがコンパクタのメモリ要素をロードすることができる様々な方式のために、1つの試験応答値に関連する観測期間は、2つの試験応答値が同じクロックサイクル中にコンパクタに注入される場合でも、他の試験応答値の観測期間とは異なる時間に開始および終了することができる。
プロセス・ブロック2612において、出力値の組は、コンパクタからクロックアウトされる。プロセス・ブロック2616において、出力値を分析し、CUTの診断が実施される。たとえば、1組の出力値を分析して、出力値の組が出力値の予測される組を備えるかを判定することができる。分析が、エラーがCUTに生じたことを示す場合、プロセス・ブロック2614において出力値をさらに分析して、CUTにおけるエラーの位置を決定することができる。たとえば、あるエラーでは、エラーを捕獲する走査セルの精確な位置は、出力値の組から決定することができる。開示するコンパクタのアーキテクチャを使用してエラーを診断する方法の例示的な実施形態について以下で記述する。
コンパクタのある実施形態では、注入装置ネットワークのアーキテクチャは、改善エラー予測特性を生成するように構成される。たとえば、以下でより完全に記述するように、注入装置ネットワークは、これ以後、1、2、または奇数のエラーのマスキングを防止し、かつ所与の観測期間中にコンパクタに入力される単一の未知状態に対処するように構成することができる。したがって、たとえば、そのようなコンパクタから生成される出力値の組は、1つもしくは2つの他のエラーまたは単一の未知状態が、単一エラーと同時に、または単一エラーに関連する観測期間中に入力された場合でも、単一エラーの存在を明らかにする。図10および11は、これらの改善結果を生成するように注入装置ネットワークを構成または設計する方式の一例を示す。具体的には、図10は、注入装置ネットワーク1000の一部を示し、第1走査チェーン出力(「1」と名称付けされている)は、試験応答値をメモリ要素1010に送達するためのゲート1030の固定構成1020を有する。図10では、メモリ要素1010は、コンパクタの出力1002、1004において出力値を生成する2つの直列レジスタ1006、1008を備える(それぞれ、レジスタ「x」および「y」と名称付けされている)。図10は、ネットワーク1000のゲート1030について、2つの候補ゲート構成1022A、1022B(「それぞれ2(候補A)」および「2(候補B)」と名称付けされている)を有する2次走査チェーン出力(「2」)をも示す。ゲート1030がそれぞれの走査チェーン出力から試験応答値を分配する方式を記述するために、多項式用語を使用することができる。すなわち、試験応答値を走査チェーン出力から注入装置ネットワークに分配する各ゲート構成は、多項式として表すことができ、この場合、多項式の累乗は、ゲートに結合されたコンパクタのメモリ要素を指す。たとえば、図10を参照すると、ゲート構成1020は、P=x+x+yと書くことができ、ゲート構成1022Aは、P=x+x+yと書くことができ、ゲート構成1022Bは、P=x+y+yと書くことができる。
図11は、図示したコンパクタの観測期間にわたる図10のゲート構成からの出力を示すブロック図である。具体的には、出力1002(「O1」)および1004(「O2」)における3つの例示的なクロックサイクル(「C1」、「C2」、および「C3」)が、ゲート構成のそれぞれについて示されている。ダイアグラム1000では、指標1110を使用して、出力1002、1004が、特定のクロックサイクル中にそれぞれのゲート構成によって少なくとも部分的に決定される値を含むかを示す。たとえば、ゲート構成1020は、観測窓1102において示されるパターンを生成する。より具体的には、ゲート構成x+x+yは、C1中の出力O1およびO2、C2中の出力O2の値に寄与し、C3中の出力には寄与しない。
ゲート構成1022A(すなわち、P=x+x+y)の出力は、観測窓1104において示され、ゲート構成1022B(すなわち、P=x+y+y)では、観測窓1106において示される。観測窓1104からわかるように、ゲート構成1022Aの結果的な出力は、窓1102においてゲート構成1020によって生成される出力のシフトバージョンである。その結果、エラーが、第1クロックサイクルにおいて走査チェーン2に注入され、他のエラーが、次のクロックサイクル中に走査チェーン1に注入された場合、どちらのエラーも出力1002、1004において観測可能ではないように、エラーは互いを完全にマスクする。したがって、ゲート構成1022Aでは、単一観測サイクル内に注入された2つのエラーが、互いを完全にマスクする可能性が存在する。対照的に、ゲート構成1022Bは、窓1106において表示される出力を有し、ゲート構成1020によって生成される出力と同一またはそのシフトバージョンである出力を生成しない。したがって、ゲート構成1022Bは、2つのエラーが走査チェーン1および2から同時に注入される場合でも、ゲート構成1020によって生成される出力をマスクすることができない。したがって、ゲート構成1022Bは、これ以後、1、2、および奇数のエラーのマスキングを防止する(互いを消去するようにそれぞれと組み合わせることができるのは偶数のエラーだけなので、奇数のエラーが観測可能であることに留意されたい)。候補Bによって例示したゲート構成の他の特性は、関連する観測窓中にネットワークに注入された単一の未知(またはX)状態は、走査チェーン1020から注入されたエラーシンドロームを完全にはマスクできないことである。このタイプのゲート構成の他の特性は、あらゆる単一エラーをコンパクタの出力上において一意に識別することができることであるが、X状態が、関連する観測窓中に同じ出力に伝播しないことを条件とする。したがって、エラー源(すなわち、走査チェーンの欠陥走査セル)を特定することができる。以下でより完全に議論する1つの例示的な設計方法によれば、コンパクタの注入装置ネットワークは、候補Bの特性を有する多項式で構成される。
この開示の目的では、(1)それぞれの観測窓中に挿入された1、2、および奇数のエラーのマスキングをこれ以後防止し、(2)それぞれの観測窓に挿入された試験応答の単一未知状態に対処することができ、(3)未知状態がそれぞれの観測窓中に挿入されていないとき、欠陥走査セルの診断を可能にする注入装置ネットワークのゲート構成をもたらす多項式は、「妥当」多項式と呼ばれる。そのような多項式は、本明細書では、「k/M」多項式とも呼ばれる。kは、各走査チェーン出力(すなわち有効なファンアウト)によって駆動されるメモリ要素の数、Mは、コンパクタにおけるメモリ要素の数である(「レジスタサイズ」と呼ぶことがある)。
一般に、コンパクタの開示する実施形態は、非常にスケーラブルであり、たとえば唯一のコンパクタ出力を有するコンパクタ設計を含めて、あらゆる数の出力について、任意のコンパクション比を支持することができる。メモリ要素Mの数、および走査チェーン出力をコンパクタのメモリ要素と結合する方式を示す多項式は、開示するコンパクタの特性のいくつかを決定する。たとえば、コンパクタの出力の数b、メモリ要素の数M、および各走査チェーンによって駆動されるメモリ要素の数kが与えられたとき、開示するコンパクタのいずれかと結合することができる走査チェーンの最大数Sは、以下のように表すことができる。
Figure 0004791954
式(1)は、代替として、以下のように書くことができる。
Figure 0004791954
以下の表1(a)および1(b)は、3/M多項式ならびに5/M多項式;1、2、4、8、および16のコンパクタ出力;および最高で32のメモリ要素を有する例示的なコンパクタについて、上記の式から得られる数値データを提供する。
Figure 0004791954
Figure 0004791954
表2は、Xコンパクト方式において可能な観測可能なチェーンの数を、16および32のメモリ要素をそれぞれ有し、かつ5から14のコンパクタ出力の範囲を有する開示するコンパクタの例示的な実施形態と比較する。
Figure 0004791954
表2は、開示するコンパクタの実施形態の1つにおいて使用されるメモリ要素の数が、観測可能な走査チェーンの最大数にどのような影響を与えることがあるかを示す。たとえば、8つの出力を有するコンパクタは、Xコンパクト方式では、せいぜい56の走査チェーンしか観測することができず、その結果、最大コンパクションは7×になる。3/16多項式(すなわち、k=3およびM=16の妥当k/M多項式)を有する開示するコンパクタの実施形態は、16のメモリ要素を使用するとき、最高で504の走査チェーンを観測することができ、32のメモリ要素を使用するとき、2936の走査チェーンを観測することができる。対応するコンパクション比は、それぞれ、63×および367×である。したがって、開示するコンパクタ方式は、広範なモジュラ設計に十分に適している。たとえば、各ブロックは、ごく小数の出力を有するが、非常に高いコンパクション比を有する別々のコンパクタを所有することができる。
上記で留意したように、コンパクタのメモリ要素に結合されるCUTの各走査チェーン出力には、いくつかの候補多項式が存在する可能性がある。しかし、これらの多項式のいくつかのみが、上記で留意した所望の結果をもたらす。したがって、ある実施形態では、注入装置ネットワークは、各走査チェーン出力が、妥当k/M多項式を使用してコンパクタのメモリ要素に結合されるように構成される。しかしある他の実施形態では、注入装置ネットワークは、妥当多項式の様々な組合せまたは準組合せを含むように構成される。他の実施形態では、注入装置ネットワークは、コンパクタが隣接走査セルから注入されたバーストエラーに効率的に対処することができるように、十分に間隔をおいて位置するタップを有する多項式を使用する。その結果、コンパクタは、欠陥走査セルのサイトを特定するために使用することができる望ましい診断能力を提供することが可能である。
開示するコンパクタを設計および構成するために、様々な異なる方法を使用することができる。たとえば、1つの手法では、走査チェーン出力の数と比較して多数のメモリ要素を有するように、コンパクタの実施形態を選択する(すなわち、表1に示した、または式(1)および(2)によって予測される数より大きい)。この手法では、様々な妥当多項式が、各走査チェーン出力について存在する。多項式を様々な候補多項式から選択する方式も、異なることがある。たとえば、注入装置ネットワークについて適切な多項式を選択する1つの例示的な方法を図25に示す。図25に示した方法2500は、選択走査チェーン出力を開示するコンパクタの実施形態のメモリ要素に結合するために実施することができる。プロセス・ブロック2502において、少なくとも2つの候補多項式が、選択走査チェーン出力について生成される。候補多項式は、ある所定のパターンに従って(たとえば、多項式のそれぞれの累乗の値を順次進める)、または無作為に生成することができる。候補多項式は、候補のどれもが、他の走査チェーン出力についてすでに選択された多項式を備えないように生成されることが望ましい。プロセス・ブロック2504において、多項式の1つが、無作為に選択される。多項式を無作為に選択することによって、結果的な注入装置ネットワークは、より均衡され、複数のエラーがネットワークに導入されるとき、より良好なエラーの分配に備え、それにより、2より大きい偶数のエラーがマスクされる可能性を低減する。次いで、他の走査チェーン出力について方法2500を繰り返すことができ、走査チェーン出力は、ある所定のパターン(たとえば順次)に従って、または無作為に選択することができる。このようにして、全コンパクタについて妥当多項式を選択することができる。
図25の方法は、他の望ましいエラー検出特性を生成するようにさらに修正することができる。たとえば、図27は、単一クロックサイクルに4エラーマスキングがないことを保証するように、注入装置ネットワークを構成する方法2700を示す。プロセス・ブロック2702において、適格な多項式のリストが生成される。適格な多項式は、所与の数のメモリ要素Mおよびファンアウトkについて、すべての妥当なk/M多項式、またはそのような多項式の部分組を備えることができる。たとえば、コンパクタに結合されるnの走査チェーン出力を有するCUTでは、リストは、すべての妥当多項式の組から無作為に選択されたnの妥当多項式を含むことができる。プロセス・ブロック2704において、リストからの多項式の1つを、候補多項式pとして無作為に選択する。プロセス・ブロック2706において、候補多項式pは、和s
Figure 0004791954
を得るように、すでに承認されている多項式qおよびrの対とビット単位で組み合わされる(承認すべき初めの2つの多項式は、無作為に選択して、第1の和sを形成するように組み合わせることができることに留意されたい)。ある実施形態では、和sは、承認多項式の各対qおよびrについて決定される。プロセス・ブロック2708において、プロセス・ブロック2706において決定された各和sについて、和sに等しいリストにおけるあらゆる多項式が禁止多項式と見なされ、リストから除外されるが、その理由は、それを使用することにより、4エラーマスキングとなることがあるからである。プロセス・ブロック2710において示すように、方法2600は、コンパクタを実施するのに十分な多項式が承認されるまで、必要に応じて繰り返すことができる。コンパクタを構成するのに十分な数の多項式を有するように、必要に応じて追加の適格な多項式をリストに追加することができる。多項式k/Mのシフトバージョンを使用しない単一出力コンパクタでは、この例示的な手法は、特に効率的な性能を提供するが、その理由は、多項式sをリスト上で特定するのに必要とする時間が一定であるからである。実際、連続整数とM要素の組から選択された辞書編集的に生成されたk要素シーケンスとの間には、簡単なマッピングが存在し、そのようなマッピングをここで使用することができる。
以下に示す表3は、Mのメモリ要素を有する開示するコンパクタの単一出力実施形態について、入力のほぼ最大数を列挙する。これらの数は、いくつかのタイプの擬似無作為数生成装置を使用して経験的に得られた。
Figure 0004791954
図27に示す方法2700において4エラーマスキングを排除するために使用される基本的な方法を使用して、他の数のエラーマスキング(たとえば、6エラーマスキング、8エラーマスキングなど)を排除するコンパクタを構成することができる。たとえば、方法2700は、そのような結果を達成するように、より大きなビット単位の和で実施することができる。
X状態のない場合における開示するコンパクタの例示的な実施形態のエラーマスキング特性
このセクションでは、妥当k/M多項式(上記で議論した)で設計された開示するコンパクタの例示的な実施形態のマスキング特性について分析する。このセクションの目的では、未知またはXの状態は存在せず、かつコンパクタは、4エラー以上のマスキングを排除するように構成されなかったと想定する。さらに、各k/M多項式は、同じ奇数の項(すなわち、3、5、7、...)を有すると想定するが、コンパクタは、このように限定される必要はない。k/M多項式を有するこれらの例示的なコンパクタの1つの特徴は、すべての走査チェーンがX状態のない応答を生成することを条件として、これ以後、1、2、またはあらゆる奇数の走査チェーン出力からエラーを検出することができることである。さらに、該当する観測窓内において、エラーを同時にまたは異なるシフトサイクルで注入することができる。
k/M多項式は、多項式の同一バージョンまたはシフトバージョンがコンパクタ出力において生成されないように選択されることが望ましいので、コンパクタに注入されるエラーは、所与の観測窓において同じまたは後のサイクルにおいて注入される他の単一エラーによって消去することができない。たとえば、kが3である例示的なコンパクタでは、2つのエラーが、レジスタにおいてエラーシンドロームを残すことがあり、このエラーシンドロームは、当初のエラーが観測窓の2つの位置において重複する場合は2ビットのコンパクタ出力、1つの位置において重複する場合は4ビット、またはあらゆる位置において重複しない場合は6ビットとして出現する。走査チェーンから注入された第3エラーが、1、3、5、7、または9の異なる程度の可能な重複について、影響を受けたレジスタにおけるエラーシンドロームを示すビット数を低減することができる。同様に、第4エラーが、0、2、4、6、8、10、および12の異なる程度の可能な重複について、影響を受けたレジスタにおけるエラーを示すビット数を低減することができる。この場合、「0」は、4エラーマスキングを表すが、その理由は、4つのエラーが存在するにもかかわらず、影響を受けたレジスタのビットは、エラーシンドロームを示さないからである。同様の分析を任意のファンアウトのサイズkに適用することができる。
この分析が示すように、4より大きい偶数エラーの検出は、例示的なコンパクタでは保証されない。この現象をより詳細に研究するために、4エラーマスキングの周波数、およびコンパクタのレジスタのサイズ、使用される多項式、ならびにエラーの時間期間に対する依存性を測定する実験が実施された。100万のエラー構成についてモンテカルロシミュレーションを実施して、測定を得た。いくつかの観測は、これらの実験の結果とすることができる。第1に、以下で表4に示すように、コンパクション比は、4エラーマスキングの確率に対してわずかな影響しか与えない(明瞭化のために、このセクションで示す表は、エイリアシングが起きる確率ではなく、エイリアシングが観測された場合の実際の数を含む)。
Figure 0004791954
第2に、以下で表5に示すように、同じレベルのコンパクションについて、コンパクタ出力の数は、4エラーマスキングに対してわずかな影響しか与えない。
Figure 0004791954
第3に、より大きい項(すなわち、ファンアウトkより大きい値)を有する多項式は、より小さい項を有する多項式より良好に作用する。たとえば、表4は、3/M多項式と比較するとき、5/M多項式についてより良好な性能を示す。第4に、表4に示したように、4エラーマスキングは、コンパクタのフリップフロップの数が増大するにつれ、迅速に降下する。第5に、以下で表6に示すように、4エラーマスキングは、エラーの時間期間が増大するにつれ、迅速に降下する。より具体的には、上述した以前の実験では、エラーは、走査チェーン出力から同じサイクルにおいて注入された。しかし、表6は、エラーが、エラー時間期間として確定されるいくつかのクロックサイクルにわたって注入された実験を示す。
Figure 0004791954
多重度6、8、10、12、14、および16のエラーで実施された同様の実験は、そのようなより高次のマスキングは、4エラーマスキングよりかなりまれにしか起きないことを示す。
開示するコンタパクタの実施形態における4エラーマスキングを、同じ出力数を有する空間コンパクタにおける4エラーマスキングと比較する実験も実施された。1つのそのような実験では、8つの出力および56の走査チェーンを有するXコンパクト方式を選択して、開示するアーキテクチャによる妥当k/M多項式を使用して設計された8出力コンパクタの3つの例示的な構成と比較した。100万の場合において、4エラーマスキングは、Xコンパクト方式では802,146倍起きた。対照的に、16、24、および32のメモリ要素を有する例示的なコンパクタでは、4エラーマスキングは、それぞれ、15,696倍、1717倍、および295倍であることが観測された。さらに、出力数が16まで増大し、かつ走査チェーンの数が1600まで増大したとき、Xコンパクト方式を使用することにより、エイリアシングの場合は3079となった。対照的に、24、32、および40のメモリ要素を有する例示的なコンパクタは、それぞれ、21倍、0倍、および0倍のエラーマスキングを提示した。すなわち、32および40のメモリ要素を有する例示的なコンパクタでは、エイリアシングはまったく観測されなかった。
表4は、25の走査チェーンによって駆動される20ビットのレジスタを有する開示するコンパクタの単一出力実施形態について、4エラーマスキングが観測されないことを示す。一般に、この現象は、走査チェーンの数が、所与のコンパクタの実施形態において使用することができる多項式の総数と比較して小さくなるときに起きることが予測される。そのような場合、コンパクタを構成するために無作為に選択された多項式が、4エラーマスキングを決して可能にしない良い機会が存在する。
X状態が存在する場合における開示するコンパクタの例示的な実施形態のエラーマスキング特性
このセクションでは、未知のまたはXの状態が存在する場合について、妥当k/M多項式(上記で議論した)で設計された開示するコンパクタの実施形態のマスキング特性を分析する。BIST環境について設計されていない限り、実際の回路は、その試験応答において未知状態を生成する。メモリ要素の数が限定され、かつあらゆるフィードバックが欠如しているので、開示するコンパクタのある実施形態は、X状態に対処することができる。これらの実施形態では、コンパクタのメモリ要素の状態、およびその出力において生成される値は、該当する観測窓のクロックサイクルにおける走査チェーン出力にのみ依存する。Mメモリ要素レジスタおよびn出力コンパクタを有するコンパクタでは、観測窓のサイズ(「深度」と呼ぶことがある)は、d=[M/n]によって与えられる。したがって、フィードバックのないコンパクタの実施形態では、コンパクタに注入されたX状態は、せいぜいdサイクルにおいてクロックアウトされる。その結果、k/M多項式を使用する例示的な無フィードバックコンパクタの実施形態の1つの特徴は、1つの走査セルからの単一エラーを、他の走査セルによって生成された単一X状態が存在する状態で、コンパクタ出力において検出することができるということである。
試験応答にX値が存在しない場合、走査チェーン出力から注入されるエラーは、k/M多項式を使用すると想定すると、コンパクタ出力において観測されるkの代替方式を有する。上記で議論したこれらの多項式の基本的な特性のために、同じ走査アウトサイクルで、または注入エラーに該当する観測窓内のサイクルでコンパクタに注入された単一X状態は、エラーシンドロームを完全にマスクすることはできない。しかし、複数のX状態が注入された場合、コンパクタの出力へのエラー伝播経路が遮断される可能性が存在する。その結果、エラーがまったく観測されないことがある。ある数の走査セルがX状態を生成すると想定して、エラーシンドロームをマスクするX状態能力の定量的な尺度は、走査セルの「可観測性」と呼ばれる。この尺度は、コンパクタの出力に到達することができるエラーを生成する走査セルの一部として確定することができる。この量は、X状態が出現する周波数、およびコンパクション比の両方に依存する。
図21は、1、2、4、8、16、および32の出力を有する6つの例示的な無フィードバックコンパクタについて、走査セルの可観測性に対するX状態の影響を表すグラフ2100を示す。例示的なコンパクタのそれぞれは、32ビットレジスタを有し、妥当3/32多項式を使用し、100×コンパクションを提供する。さらに、CUTの走査チェーン長は、走査チェーンあたり100走査セルと選択され、X状態を生成する走査セルの割合は、0.01%から1%まで変化する。図21は、以下の4つの群の曲線を示す:(a)観測されていない走査セルの割合2102(「0」と記す);(b)1回観測された走査セルの割合2104(「I」と記す);(c)2回観測された走査セルの割合2106(「II」と記す);および(d)3回観測された走査セルの割合2108(「III」と記す)。
図21からわかるように、非常に強い類似性が、各グループ内の曲線間に存在し、これは、Xマスキング特性が、コンパクタの出力の数に依存しないことを示す。やはり図21からわかるように、チャートにはいくつかの明確な領域が存在する。たとえば、X状態を生成するセルの数が0.01%から0.1%に増大する際に、観測することができない走査セルの数(曲線2102)は、0.01%から2%に増大する。同時に、3回観測された走査セルの割合の曲線2108は、92%から42〜45%に減少し、2回観測された走査セルの曲線2106は増大し(最高で40%)、1回観測された走査セルの曲線2104は増大する(最高で約15%)。X状態を生成するセルの0.1%と0.25%との間の範囲では、2回観測可能なセルの量(曲線2106)が優勢である。0.25%と0.5%との間の範囲では、ほとんどの走査セルが、1回のみ観測される(曲線2104)。X状態によって影響を受ける走査セルの数が増大し続ける際に、50%を超える走査セルは、もはや観測されない。
コンパクション比は、各コンパクト出力において観測可能な走査チェーンの数を決定する。コンパクション比は、X状態が走査セルの可観測性にどのような影響を与えるかをも部分的に決定する。図22は、32ビットレジスタを有し、妥当3/32多項式を使用し、10×(図22では最低の曲線)から25×、50×、100×、250×、および450×(図22では最高の曲線)の範囲にわたってコンパクション比を提供する例示的な単一出力コンパクタについて、遮断された走査セルの割合を示すグラフ2200である。図21と同様に、X状態を生成する走査セルの数は、0.01%と1%との間で変化する。得られた結果は、コンパクション比が増大する場合、X状態によって影響されるセルの数は、走査セルの可観測性をほぼ同じレベルに維持するために、同じ割合で減少するはずであることを示す。
同様の実験を使用して、Xコンパクト方式における走査セルの可観測性を、開示するコンパクタの例示的な実施形態における走査セルの可観測性と比較した。具体的には、7つのファンアウト(表7では「X」と示す)を有するXコンパクタを、妥当7/32多項式(表7では「C」と示す)および妥当3/32多項式(表7では「C」と示す)を使用する開示するコンパクタの実施形態と比較した。コンパクタのそれぞれは、16の出力を有し、1600の走査チェーンからの試験応答を圧縮するために使用された。
Figure 0004791954
表7からわかるように、X状態を生成する走査セルの割合が0.5%より小さい限り、有効に観測することができる走査セルの数は、開示するコンパクタ(この例では、32ビットレジスタおよび妥当7/32多項式ならびに3/32多項式を使用するコンパクタ)の実施形態では、かなりより多い。さらに、表の最後の行からわかるように、3/32コンパクタの実施形態は、X状態を有する走査セルの一部が0.1%より大きくなった後は、7/32コンパクタの実施形態より良好な性能を提供する。
開示するコンパクタの例示的な実施形態の診断能力
このセクションでは、妥当k/M多項式で設計される開示するコンパクタの例示的な実施形態の診断能力を分析する。妥当k/M多項式で設計されるコンパクタの1つの特徴は、該当する観測サイクル中にX状態が同じ出力に伝播しないことを条件として、あらゆる単一エラーをコンパクタの出力において一意に識別することができることである。たとえば、図9に示した実施形態では、エラーが第1走査チェーン出力を経て伝播し、X状態がメモリ要素1、4、および5に伝播しない場合、エラーが走査チェーン1から入ってくる際に、エラーを一意に識別することができる。しかし、これは、コンパクタのレジスタにおいて相互作用する複数のエラーについては、常に真ではない。たとえば、入力2および6の2重エラーに、次のクロックサイクルにおいて入力13および14の2重エラーが続くと、エラーシンドローム(すなわち、マスクされたエラーシンドローム)は生成されない。さらに、出力910において観測されるエラーシンドロームに、次のクロックサイクルにおいて出力910における他のエラーシンドロームが続くことは、入力1および13の2重エラー、または入力3および5の2重エラーによって生じる可能性がある。したがって、エラー源に関してあいまいさが存在し、エラーを生成した特定の走査チェーンを一意に識別することができない。
欠陥走査セルを診断する目的で開示するコンパクタの実施形態の能力を定量化ために、エラー多重度の様々な値、異なるレジスタサイズ、および様々な多項式の値について診断分解能を測定する実験が設計された。分解能は、一意に識別することができるエラーの割合(すなわち、あらゆる他のエラーによって生成することができないシンドロームを生成するエラーの割合)を計算することによって測定された。様々なサイズを有する開示するコンパクタの実施形態において観測された4エラーパターンの結果を表8に示す。これらの結果は、k=3ならびにk=5の多項式および0に等しいエラー時間期間について、16から48の走査セルにわたる様々なサイズの走査チェーンについて得られた。
Figure 0004791954
これらの結果は、いくつかの観測をもたらす。第1に、コンパクタのレジスタのサイズを増大することにより、診断分解能が改善される。第2に、より多くの項(たとえば5)を有する多項式は、より少ない項(たとえば3)を有する多項式より良好に作用するが、比較的多数の走査チェーンを圧縮する非常に小さいコンパクタを除く。第3に、診断分解能は、走査チェーンの数が増大するにつれ、減少する。
エラーの多重度に対する診断分解能の依存性を検査するために、他のグループの実験が実施された。これらの実験の結果を図23のグラフ2300に提示する。これらの実験では、開示するコンパクタの実施形態は、4つの出力を有し、40の走査チェーンによって駆動され、3つの項(すなわち、3つのファンアウト)を有する妥当多項式を使用し、および0に等しいエラー時間期間を有することを想定した。図23では、各曲線は、8から40にわたるMのレジスタサイズを有するコンパクタの実施形態を表す。図23からわかるように、診断分解能は、より小さい多重度のエラーについてより高い。結果から、レジスタサイズを増大させた以前の観測が、診断分解能を改善することができることも確認される。
他のグループの実験は、コンパクション比が診断分解能に対して有する可能な影響を評価することを対象とした。図24のグラフ2400に提示した結果は、2エラーパターン、3つのファンアウトを有する開示するコンパクタのいくつかの実施形態、および0から11にわたるエラー時間期間について得られた。図24では、各曲線は、特定のコンパクション比に対応し、走査チェーンの数対出力の数(たとえば100:4)およびレジスタサイズM(たとえばM=28)として示されている。グラフ2400に表示された結果について、いくつかの観測を行うことができる。第1に、診断分解能は、コンパクション比が増大するにつれ、減少する。しかし、この影響は、レジスタのサイズを増大させることによって補償することができる。たとえば、図24に示すように、48ビットのレジスタおよび200:1のコンパクション比を有するコンパクタの実施形態は、36ビットのレジスタおよび100:1のコンパクション比を有するコンパクタの実施形態より良好な診断分解能を有する。第3に、診断分可能は、当初減少するが、エラー時間期間が増大するにつれ、増大する。第4に、あいまいな場合でも、欠陥走査セルをかなりの精度で決定することができる。たとえば、図23は、100×コンパクション比および10のエラー時間期間について、エラーパターンの最高で95.57%が、36ビットコンパクタを使用して固有のシンドロームを生成することを示す。残りのエラーについては、1.98%の場合、同じシンドロームは、2つのエラーによって共有される。同じシンドロームは、すべてのエラーパターンの0.14%によって3倍に生成される。エラーの0.009%のみが、4エラーパターンについて共通であるようなシンドロームを有する。
開示するコンパクタの例示的な実施形態の実験結果
開示するコンパクタのいくつかの実施形態の性能を、3つの業界設計に関してさらに検査した。試験した設計の特性(たとえば、ゲート、走査チェーン、および走査セルの数を含む)を、対応する実験結果をまとめた表9に示す。「X」と名称付けされた列は、試験応答に生じる未知状態の割合を提供する。これらの数は、完全な試験の組をシミュレーションして、その応答を評価することによって得られた。市販の自動試験パターン生成(「ATPG」)ツールを使用して、実験に使用された試験の組を生成した。実験分析の主な目的は、開示するコンパクタの2つの実施形態の性能を、Xコンパクト方式に従って設計されたコンパクタと比較することであった。実験では、3つの異なるコンパクタを各業界設計について使用した。第1コンパクタは、Xコンパクト技法に基づいていた。次の2つのコンパクタは、開示するコンパクタの実施形態であった(表9においてそれぞれ「C1」および「C2」と名称付けされている)。
Figure 0004791954
各コンパクタについて、出力の数ならびに結果的なコンパクション比は、表9の指定された列に与えられている。さらに、コンパクタC1は、対応するXコンパクトコンパクタと同じ数のコンパクタ出力を有するように選択された。コンパクタC2は、開示するコンパクタのアーキテクチャを使用して可能である適応性を示すために、はるかにより高いコンパクション比を提供するように選択された。「k」と名称付けされた列は、コンパクタを確立するために使用された多項式の項の数を列挙する。「M」と名称付けされた列は、コンパクタにおいてレジスタを創出するために使用されたメモリ要素の数を列挙する(純粋な空間の方式として、Xコンパクトコンパクタは、メモリ要素を使用しない)。開示するコンパクタの実施形態では、メモリ要素の数は、使用可能な多項式の総数が回路設計における走査チェーンの数より著しく多くなるように選択された。したがって、4エラーマスキングの確率は低減されたが、診断分解能は、依然として比較的高かった。表9の最後の3つの列は、未知状態が存在するために観測不可能になる既知の値を有する走査セルの割合を示す。
設計D1と関連してコンパクタC1を使用するとき、結果的なコンパクション比は、約10×である。このコンパクション比、および未知状態を生成する走査セルの0.79%が与えられると、図22の10×曲線から、走査セルの約4%が観測されないことを予測することが可能である。さらに、同じ数の出力(したがって、同じコンパクション比)が与えられると、開示するコンパクタのアーキテクチャは、Xコンパクト方式より、未知状態が存在する場合に走査セルの良好な可観測性を提供することができる。開示するコンパクタのアーキテクチャのこの品質は、設計D2についてより明らかであった。
業界設計は、通常、未知状態をクラスタで生成する(すなわち、大多数の未知の値は、ごく少数の走査セルによって生成される)。表10に示すように、未知状態の累積割合は、未知状態の元である走査チェーンの数の関数として表され、迅速に平滑化する。検査した設計では、未知の値のほぼ大部分を捕獲するのに、10の走査チェーンで十分であった。
Figure 0004791954
図15に関して以下でより完全に議論するように、未知の値を遮断するために使用することができる開示するコンパクタの1つの代替実施形態は、未知の値を捕獲する傾向がある走査チェーンをゲートすることを含む。未知状態の大部分をもたらす走査チェーンの数は小さいので、この手法は、未知状態がコンパクタに注入されることを防止する一方で、試験の質を著しく損わない。走査チェーンのマスクを想定している際の走査セルの可観測性を表9の最後の列に示す(括弧内のデータは、各パターンについてマスクされた走査チェーンの平均数を示す)。ある走査チェーンを制御する能力は、結果的な可観測性を改善することがわかる。具体的には、コンパクタの実施形態C2は、以前よりはるかに良好に作用した。たとえば、D1の当初のコンパクタの実施形態C2は、16.96%の観測されない既知の応答を有していたが、代替コンパクタ実施形態C2は、最高で5つの走査チェーンが各パターンについてマスクされる場合、4.32%の非観測率を有していた。この結果は、Xコンパクト方式のコンパクション比がはるかにより低いときでも、D1のXコンパクト方式よりさらに良好である。D2およびD3では、代替コンパクタ実施形態は、良好な可観測性を有する100×を超えるコンパクションを達成した。応答が少数の未知の値を有するD2についてさえ、走査チェーンマスキングは、観測されない走査セルの数を非常に低いレベルまで低減することができることに留意する価値がある。
埋込み試験環境では、アドレスレジスタは、オンチップ・デコンプレッサによってロードされる。例として、D3のコンパクタ実施形態C2を考慮する。この設計は、457の走査チェーンを有するので、各チェーンに対処するために、9ビットが必要である。各レジスタに関連するフラグも存在し、したがって、各パターンに必要な試験データは、10×6=60ビットとなる。設計D3に関しては、各パターンについて指定されたビットの平均数は360であり、したがって、結果的なコンパクト比は、約138K/360〜383×となる。コンパクションプロセスを制御するのに必要なデータを考慮に入れると、実際のコンパクション比は、138K/(360+60)〜327×の許容可能なレベルに降下する。同じ分析を設計D1およびD2について実施することができる。D1およびD2のパターンあたりの指定ビット平均数は、それぞれ1500および940であり、したがって、D1のコンパクト比は、依然としてほぼ同じであるが、D2のコンパクト比は、60から55に変化する。
開示するコンパクタの例示的な修正および代替構成
コンパクタの開示する実施形態は、開示する技術が依拠する原理から逸脱せずに、様々な異なる方式で修正することができる。たとえば、開示するコンパクタのある実施形態のエラー伝播および診断特性により、コンパクタのアーキテクチャは、MISRを構築するための良い基盤となる。図12は、新しいタイプのMISRに修正された開示するコンパクタの例示的な実施形態1200を示す。具体的には、コンパクタ1200は、フィードバックループ1202を備え、このフィードバックループ1202は、レジスタ(図12に示した例示的な実施形態では、「1」から「16」まで記されている)のメモリ要素1204に選択的に結合される。所定の多項式に対応するフィードバック(示した実施形態では、h(x)=x16+x12+x+x+1)は、制御論理1216によって可能になる。制御論理1216は、示した実施形態では、制御経路1218およびレジスタの出力1206に結合されたANDゲートを備える。制御経路1218が活動化されたとき、フィードバックループ1202は可能になり、これにより、コンパクタ1200はMISRモードで動作する。制御線が非活動化されたとき、コンパクタ1200は、コンパクションモードで実行される。MISRモードでは、コンパクタ1200は、シグネチャ計算機能を実施する。ハイブリッドコンパクタ/MISR1200は、いくつかの利益を提供する。たとえば、偶数のエラーが同じサイクル中に注入されたときに生じるコンパクション消去は、2つのエラーについて排除され、より高次の多重度のエラーでは劇的に低減される。
コンパクタ1200の出力は、MISRモードにおいて観測することができ、従来のMISRでは利用可能ではない改善された診断能力を提供することができる。ハイブリッドコンパクタ/MISRを使用する診断プロセスは、単一試験セッションを含むことができ、この場合、すべてのパターンが適用され、かつ単一MISR出力において観測されたすべての応答が記録され、したがってエラー多項式が創出される。このエラー多項式は、走査チェーンから注入されたエラーの影響およびフィードバック多項式の重ね合わせの影響を含む。フィードバック多項式の影響は、出力エラー多項式にフィードバック多項式を乗算することによって、容易に除去することができる。たとえば、示した実施形態では、フィードバック多項式は、以下のようになる:
E’(t)=E(t+16)+E(t+12)+E(t+9)+E(t+6)+E(t) (3)。
コンパクションモードでの動作は、製造試験中の診断においていくつかの追加の利点を提供することができる。たとえば、このモードでは、フィードバックループは、開いていることが望ましく、試験装置は、はるかにより小さい数の欠陥サイクルを記録しなければならない。試験装置によって報告されるエラーは、E’(t)に直接対応する。
開示するコンパクタの他の実施形態を図13に示す。この実施形態により、選択走査チェーンの完全な遮断、または選択走査チェーン以外のすべての完全な遮断が可能になることが望ましい。この実施形態は、以下で説明するように、所望であれば1組の複数の選択走査チェーンを遮断するように、さらに修正することができる。切替えネットワーク1304が、走査チェーン1312と示したコンパクタ1300との間に結合される。示した実施形態は、8つの走査チェーンを有するが、任意の数の走査チェーンをコンパクタ1300に接続することができる。切替えネットワーク1304は、以下の異なる入力に応答する論理ゲートを備える:入力1306(例示的な実施形態では「すべて観測フラグ」)、入力1308(例示的な実施形態では「遮断フラグ」)、および入力1310(例示的な実施形態では「走査アドレス・レジスタ」)。示した実施形態では、すべて観測フラグ1306が可能になるとき、走査チェーン1312からの試験値のすべてが、走査アドレス・レジスタ1310および遮断フラグ1308の値に関係なく、コンパクタ1300に送信される。すべて観測フラグ1306フラグが不能になる場合、1つの走査チェーン以外のすべてを遮断する、または1つの走査チェーンのみを遮断する2つのモードが可能である。一実施形態では、走査アドレス・レジスタ1310は、各走査チェーン1312を一意に識別するのに十分なビットを含み、1つの走査チェーンのアドレスを保有する。遮断フラグ1308が可能になる場合、走査アドレス・レジスタ1310によって選択された1つの走査チェーンのみが遮断され、その値は、コンパクタ1300に渡されない。しかし、遮断フラグ1308が不能になる場合、アドレスが走査アドレス・レジスタ1310に記憶されている走査チェーンに記憶されている試験値のみが、コンパクタ1300に渡すことが可能になる。
図14は、選択走査チェーンの部分的な遮断を可能にする開示するコンパクタの他の実施形態1400を示す。いくつかの場合、走査チェーンを、完全にではなく部分的に遮断することが有益である。たとえば、部分的に遮断された走査チェーンに既知の値を有する走査セルのある可観測性を維持しながら、コンパクタに注入されるX状態の数を低減することができる。表9は、当初は観測されない走査セルの割合が比較的低い場合では(設計D1ならびにD2のコンパクタ実施形態C1、および設計D3のコンパクタ実施形態C1ならびにC2など)、図14に示すような部分遮断方式は、選択走査チェーンを完全に遮断するコンパクタの実施形態より、低い割合の遮断走査セルを与える。図13に示した方式と同様に、制御論理1404が、部分遮断フラグ1406、すべて観測フラグ1408、遮断フラグ1410、および走査アドレス・レジスタ1412と関連して作用し、どの走査チェーン出力1414が遮断されるか、部分的に遮断されるか、またはコンパクタ1400に渡されるかを可変的に制御する。
以前に留意したように、開示するコンパクタのある実施形態の性能は、未知の値を捕獲する傾向がある走査チェーンをゲートすることによって随意選択で改善することができる。大多数の未知状態をもたらす走査チェーンの数は小さいので、この手法は、未知状態がコンパクタに注入されるのを防止する一方で、試験の質を大きく損わない。
コンパクタ1506がゲートされる他の例示的な実施形態1500を図15に示す。バイパスモード・イネーブル信号1502によって制御されるマルチプレクサ1520が、信号1502が可能になるとき、すべての走査チェーン出力1510を観測できるように追加される。この実施形態は、R走査アドレス・レジスタ1512を備えることもでき、ある実施形態では、Rは、走査チェーンの数によって決定される小さい整数である。いくつかの実験では、値R=5は、せいぜい100の走査チェーンが設計に存在するとき、所望通りにうまく機能することが判明した。次いで、たとえば、各追加の100の走査チェーンについて、レジスタを1つ余分に追加することができる。次いで、分散ネットワーク1508を使用して、アドレスがコンパクションモードにおいてレジスタ1512に記憶されている走査チェーンをマスクし、バイパスモードにおいてバイパス出力1514を経て出力される走査チェーン出力を選択することができる。対応するレジスタのアドレスが現行パターンについて有効であるかを示すために、信号ビットを各レジスタ1512に関連付けることができる。たとえば、動作の際、この実施形態では最高でRのアドレスを、各試験パターンについてレジスタ内に走査することができ、また、それぞれの走査チェーンをマスクするために使用することができる。その結果、未知の値のより小さい一部がコンパクタ内にシフトされ、したがって、走査セルの可観測性全体が改善される。
図16は、開示するコンパクタの他の実施形態1600を示す。この実施形態では、バイパスモードは、1つの走査チェーン出力について個別に決定される。コンパクタ1606は、たとえば図13に関して記述したものと同様とすることができる選択装置回路1608と結合される。示した実施形態では、たとえば、バイパスフラグ1614がバイパスモード信号1602を可能にした後、すべて観測フラグ1612を不能にすることができ、また、走査アドレス・レジスタ1610を使用して、どの走査チェーンがバイパス出力1605を経て出力されるかを選択することができる。そのような方式は、X状態のあらゆる負の影響を最小限に抑えるように作用することができ、かつ診断を簡単にすることができる。
開示するコンパクタの他の実施形態は、試験パターンを決定するために当初使用された自動試験パターン生成装置(「ATPG」)ツールからの情報で、コンパクタをプログラムすることを含む。図17は、ATPG駆動手法を使用するコンパクタの例示的な実施形態1700を示す。示した実施形態では、切替えネットワーク1702により、走査チェーン出力1706の異なる構成をコンパクション入力1704として送信することが可能である。1つまたは複数の選択走査チェーンのアドレスをレジスタ1712に記憶することができる。たとえば、図17では、走査チェーン出力1708は、その値がコンパクタの実施形態1710に入力されないように遮断された。この実施形態では、各可能な構成が、ある割合の走査セルを遮断することが可能である。しかし、ATPGツールを使用して、故障情報を搬送する走査セルを観測する構成を識別するように作用させることができる。たとえば、利用可能な複数の構成が存在する場合、ほぼ各走査セルについて、それを観測することを可能にする1つの構成が存在するはずである。これに関して、表11は、3つの異なる設計および16の異なるコンパクション構成について実験結果を示す。設計D3(上記の表9から)では、2つの出力で457の走査チェーンが観測され、コンパクション比は228×であった。平均すると、走査セルの23.74%が、各構成について観測されなかったが、すべての16の構成を使用した後では、観測されなかった走査セルは、わずかに0.09%であった。
Figure 0004791954
図17に示した切替えネットワーク1702は、代替として、ある所定のパターンに従って走査チェーン出力を選択または切り替えるように構成することが可能である。たとえば、切替えネットワーク1702は、固定パターンに従ってある走査チェーン出力を代替として選択するように構成されたマルチプレクサを備えることが可能である。切替えネットワーク1702は、走査チェーン出力とコンパクタ1710への入力との間の相互接続を選択的に交換するために使用されるモジュラスイッチ(たとえば、2×2モジュラスイッチ)を備えることも可能である。さらに、切替えネットワーク1702を制御するために使用されるレジスタ1712は、代替として、オンボード試験制御装置を備えることが可能であり、または、外部試験装置への直接結合を備えることが可能であり、この外部試験装置は、切替えネットワーク1702の選択信号を制御するために使用される。
図18は、図17に示した方式の他の実施形態1800を示す。この実施形態では、走査チェーン構成は、走査シフトが行われる際に修正される。当初、図17の構成レジスタ1712と同様に、選択される1つまたは複数の走査チェーンのアドレスをカウンタ1802にロードすることができる。
いくつかの実施形態では、内部走査チェーンは、試験を制御する試験装置よりはるかに高い周波数でシフトさせることができる。そのような場合、コンパクタを試験装置の速度で動作させることが有利であることがある。たとえば、図19(a)に示す実施形態1900では、走査チェーン1904は、第1クロック1908によって、より高い周波数において1つまたは複数の直列入力並列出力(「SIPO」)レジスタ1906内にシフトされる。SIPOレジスタ1906にロードした後、値は、第2クロック1910によってクロックされるコンパクタの実施形態1902にロードされる。図19(B)は、他の例示的な実施形態1950を示し、この場合、走査チェーン1954は、複数の走査セルを保有し、その少なくともいくつかは、個々の出力1952を有する。したがって、走査チェーン1954は、同じクロックサイクル中に、複数の走査値をコンパクタ1902内にシフトさせることができる。
これらの実施形態のいずれかを使用して、CUTを診断し、故障を特定するのを補助することができる。たとえば、単一走査チェーンの試験値は、すべての他の走査チェーンをマスクすることによって、個々に分析することができる。
上記で議論した代替構成は、コンパクタの出力またはコンパクタの注入装置ネットワークの出力で使用するように適合させることもできる。たとえば、上述した切替えネットワークまたは分散ネットワークのいずれかを、コンパクタの出力または注入装置ネットワークの出力に結合することができる。1つの例示的な代替構成200を図20(A)に示す。図20(A)では、注入装置ネットワーク2002の出力は、論理2010に結合される。論理2010は、上述した切替えネットワークもしくは分散ネットワークのいずれかを備えることができ、または代替として、注入装置ネットワーク(たとえば、バレルシフタ)からの出力を分配するための固定論理を備えることが可能である。示した構成では、論理2010は、互いに直列に接続する必要のないメモリ要素2012のバンクに結合される。メモリ要素2012の組は、値を生成するように、直列(たとえばマルチプレクサを介して)または並列で出力することができ、次いで、値を論理的に組み合わせて、出力値を生成することが可能である。構成2000を使用して、直列接続メモリ要素を使用せずに、上述したコンパクタのアーキテクチャの望ましい特性の多くを得ることができる。しかし、示した構成は、決して限定として考慮されるべきではないが、その理由は、直列接続メモリ要素のないコンパクタを実施するいくつかの代替構成が存在するからであり、すべて、本開示の範囲内にあると見なされる。
いくつかの実施形態では、コンパクタからの出力値は、メモリ要素の追加のバンク内にロードされ、その後、故障診断のために回路から出力される。たとえば、図20(B)に示す構成2050は、1つまたは複数の直列入力並列出力(「SIPO」)レジスタ2054に結合されたコンパクタの実施形態2052を示す。1つの特定の実施態様では、レジスタ2054は、コンパクタ2052を駆動する同じクロック周波数でロードされるが、より低い周波数で出力される(並列で)。
いくつかの実施形態では、コンパクタのメモリ要素は、フィードバックループを含む論理と結合することができるが、開示する技術の望ましい特性のいくつかを依然として提示する。たとえば、図20(C)に示すコンパクタの実施形態2080は、フィードバックループ2082を備える。フィードバックループ2082は、コンパクタの出力2086をメモリ要素2084の入力と結合する。フィードバックループは、ゲート2096(ここではANDゲート)および制御信号2098を介して制御される。示した実施形態では、制御信号2098は、交代信号(たとえば、0,1,0,1、...)を備える。コンパクタの実施形態2080は、奇数のメモリ要素を含むので、メモリ要素内にロードされたあらゆる値は、最終的には、ある固定観測期間にわたってクロックアウトされる。フィードバックループをコンパクタに含むための示した構成は、決して限定として考慮されるべきではないが、その理由は、フィードバックループを含むいくつかの代替構成が存在するからであり、そのすべては、本開示の範囲内にあると見なされる。
例示的な故障診断実施形態
このセクションでは、開示するコンパクタのアーキテクチャを使用して故障を診断する方法の様々な実施形態を記述する。開示する実施形態は、決して限定として解釈されるべきではなく、記述する原理から逸脱せずに、構成および詳細について修正することが可能である。一般に、例示的な実施形態は、たとえば、妥当k/M多項式を使用する上述したコンパクタの実施形態を使用する走査に基づく設計と共に使用することが可能である故障診断技法に関する。開示する方法の実施形態を使用して、コンパクタによって提供される出力値に基づいて、欠陥走査セルの精確で時間効率のよい識別を提供することができる。
留意したように、上述したコンパクタのアーキテクチャ(たとえば、図9に示したコンパクタのアーキテクチャ)は、単一出力を有するアーキテクチャを含めて、あらゆる数の出力について、任意のコンパクト比を支持することができる。これらのアーキテクチャのコンパクション比およびエラー検出の特性は、通常、コンパクタのメモリ要素の総数M、コンパクタの各レジスタのサイズm、および走査チェーンをコンパクタのメモリ要素に接続する方式を示す注入装置多項式によって決定される。この議論の目的では、図9に例示したアーキテクチャを有するコンパクタが使用され、かつこのコンパクタが、x+y+zの形態を使用して記述することができる妥当注入装置多項式を使用することを想定する。この形態によれば、たとえば、図9の6番目の走査チェーンは、多項式P=y+x+xに関連付けられ、一方、5番目のチェーンは、P=y+y+xに関連付けられる。以下で記述する故障診断方法の例示的な実施形態は、この例示的なコンパクタに関して進行するが、開示する原理は、任意の数のメモリ要素および任意のサイズのファンアウトを有するコンパクタに適用するように適合させることができる。
線形回路を備えるこの例示的なコンパクタの振舞いは、このコンパクタが受信するエラー試験応答、およびこのコンパクタが生成するエラーシグネチャを使用して分析することができる。この開示の目的では、エラー試験応答は、E=Rff+Rとして確定される。Rffは無故障応答、Rは故障試験応答であり、「+」は、ビットごとのXOR演算を表す。同様に、エラーシグネチャは、S=Sff+Sとして確定される。Sffは無故障シグネチャ、Sは故障シグネチャである。特に断りがない限り、エラー試験応答EおよびエラーシグネチャSのみについて考慮する。i番目の走査チェーンに位置するj番目の走査セルは、以下の形態xa+j+yb+j+zc+jを有するいわゆる「エラープリント」を生成することが可能である。ここで、P=x+y+zは、走査チェーンiに関連する注入装置多項式である。
通常、異なるエラープリントによって、同じエラーシグネチャを生じることがある。たとえば、シグネチャが単一の故障試験応答を明らかにするシナリオについて考慮する。上述した例示的なコンパクタのアーキテクチャが与えられると、単一走査セルは、そのような結果を生成することができなかった。さらに、その結果を生成することができた走査セルの対はない。しかし、3つの異なる走査セルが含まれた後は、プリントは、結果的なシグネチャが厳密に1つの欠陥試験応答を有するように、互いを消去することができる。たとえば、プリントが以下の形態を有する場合:
=x +y+z
= x+y +z
= x+ z+z (4)、
和は、xに等しい。上記で示した特性を有する走査セルは、本明細書では、「タイプI」の「トリプレット(triplet)」と呼ばれる。
単一故障試験応答は、「タイプII」のトリプレットによって生成することもできる。タイプIIのトリプレットでは、エラープリントは、組み合わされたときに故障試験応答を明らかにする3つの重複タップを含む。タイプIIの例示的なトリプレットは、以下の通りである:
=x+y+z
=x+y +z
=x+ z+z (5)。
タイプIおよびIIのトリプレットは、エラーシグネチャにおいて単一故障試験応答をもたらすことができる3つの異なる走査セルの唯一の構成である。その簡単さのために、トリプレットは、エラーを捕獲する走査セルの一貫した組を迅速かつ体系的に選択することを見込む。
1組の注入装置多項式が与えられると、対応するシグネチャの選択ビットについて、エラープリントおよびトリプレットのリストを決定することができる。トリプレットのリストは、エラープリントが、式(4)および(5)において上述したエラープリントパターンと整合する走査セルを選択することによって決定することができる。一実施形態では、たとえば、各コンパクタのレジスタの中間ビット(または、レジスタが偶数のメモリ要素を有する場合、2つのそのようなビット)について、トリプレットの基本リストが決定される。必要であれば、エントリを調節し、その後、実在しない走査セルに対応する項目を削除することによって、基本リストから追加のリストを得ることができる。たとえば、形態{(1,2),(4,2),(2,3)}のトリプレットについて考慮する。各対の第1成分は、走査チェーンの数を表し、第2成分は、走査セルの数(または、同様に、その時間フレーム)を表す。このトリプレットをビットxに関連付ける。このトリプレットを使用して、各対の第2成分を増大させることによって、ビットxについてトリプレットを決定し、それにより{(1,3),(4,3),(2,4)}を得ることができる。
このプロセスの計算の複雑さは、走査チェーンのサイズに依存しないが、その理由は、生成されるトリプレットは、ある範囲内においてのみ生じるからである。この範囲は、以下の提案によって画定することができる;コンパクタのレジスタのサイズがmであると与えられると、トリプレットの所与のリストを構成する走査セルの時間フレーム範囲は、3m−3以下である。この提案の証明は、コンパクタのレジスタの1つおよびタイプ1のトリプレットを調査することによって示すことができる。xを、トリプレットを探しているシグネチャビットであるとする。すなわち、xを、トリプレットについて設定されるビットであるとする(この議論の目的では、ビットが値「1」を有するとき、ビットが設定される、またはビットがエラーを表すことに留意されたい。しかし、開示する実施形態の望ましい機能および利点は、異なる値で動作するアーキテクチャを使用して達成することができるので、この値は、決して限定として構築されるべきではない)。式(4)から、対応するエラープリントは、以下の形態を有することが可能である:
a−m+1+xa−m+2 +x
a−2m+3+x +xa−m+2
a−2m+3+x+xa−m+1 (6)。
エラープリントのすべては、レジスタ内の最大に可能な期間(すなわちm−1)を想定することに留意されたい。一方、同じ位置xは、以下のプリントによって「1」に設定することができる。
+xa−m+2+xa+m−1
a+m−2 +x +x
a+m−1 +x +x (7)。
上記の2つのトリプレットによって網羅される時間フレームの数は、(a+m−1)−(a−2m+3)+1=3m−3によって与えられることがわかり、これは、提案に合致する。
トリプレットの概念をさらに示すために、6つの走査チェーン2802によって駆動される図28に示す例示的なコンパクタ2800を考慮する。各走査チェーン2802が、5つのフリップフロップからなると想定する。コンパクタ2800は、2つの出力(第1出力2810および第2出力2812)を有するので、結果的なシグネチャは、2つの7ビットのシーケンス(3+5−1=7)からなる。シグネチャビットx、x、およびyに関連付けられるトリプレットの完全なリストを、以下の表において与える。
Figure 0004791954
これらのトリプレット、ならびにシグネチャの残りのビットに関連付けられるトリプレットは、式(4)および(5)を使用することによって得ることができる。
例示のために、第1トリプレット{(3,0),(5,0),(4,1)}について考慮する。図28から、対応するプリントの和は以下のようになる:
(x+x+y)+(x+y+y)+(x0+1+x2+1+y0+1)=x (8)。
式(8)は、このトリプレットが、シグネチャビットxを「1」に設定することを示す。
エラーシグネチャが与えられると、シグネチャに出現する各「1」について1つの対応するトリプレットを選択することによって、予備的な解(たとえば、欠陥走査セルの組)に到達することができる。解が、偶数回出現する走査セルを装備する場合、一実施形態では、その解は、組から削除される。走査セルの残りの組は、エラー信号が当初のシグネチャをもたらす走査セルの有効な集合体を構成する。しかし、多くの場合、得られた解は、最も簡単な解(すなわち、最小数の走査セルを備える解)ではない可能性がある。1つの例示的な実施形態によれば、得られた解は、さらに簡単にすることができる。
線形の式を使用して解の組を簡単にする
欠陥走査セルの予備リストを形成するために選択された1組のnのトリプレットが与えられるとする。トリプレットにおいて生じる走査セルを表す最高で3nの変数について、線形の式の固有システムが存在する。1つの例示的な実施形態によれば、システムの各線形の式は、使用されたエラープリントによって示されるビットの1つに対応し、式の左辺は、エラープリントがそのビットを含むこれらの変数(走査セル)の和である。式の右辺は、エラーシグネチャにおける対応するビットの実際値に等しい。この例示的な形態では、値「1」を有する変数は、エラーを捕獲する走査セルに対応し、値「0」を有する変数は、エラーのない走査セルに対応する。
たとえば、以下のエラープリントを有する例示的なタイプIIトリプレット{c,c,c}について考慮する:
=x+x+x
=x+x +x
=x +x+x (9)。
これらの3つの式は、以下の式をもたらす:
+c+c=1 すべての3つのセルがビットxを設定するので
+c =0 セルcおよびcが、ビットxをリセットするので
+c =0 セルcおよびcが、ビットxをリセットするので
+c =0 セルcおよびcが、ビットxをリセットするので (10)。
より多くのトリプレットでは、線形の式の結果的な組は、すべての変数cが「1」に等しいように、非自明な解を有する。この特性は、トリプレットの定義に直接従う。しかし、たとえばガウスジョルダン消去法を適用することによって、より簡単な解を得ることができる。この例示的な方法は、式の当初の組における変数の総数を低減するので、候補走査セル間のより微妙な関係を明らかにするように作用する。
たとえば、例示的なコンパクタによって生成されるシグネチャが、ビットx、x、およびxについて、それぞれ、3つの連続する「1」を装備するとする。この場合に選択される3つのトリプレット{c,c,c}、{c,c,c}、{c,c,c}は、以下の例示的なエラープリントを有することが可能である:
=x+x+x=x+x+x=x+x+x
=x+x+x=x+x+x=x+x+x
=x+x+x=x+x+x=x+x+x (11)。
連続トリプレットは、エラープリントによって示すように、連続時間フレームの走査セルからなることに留意されたい。したがって、線形の組は、9変数(cからcの走査セル)の6つの式(シグネチャのビットxからxを表す)からなる:
+c+c =1
+c +c+c+c =1
+c+c+c +c+c+c=1
+c+c +c+c+c =0
+c+c +c=0 (12)。
これは、以下のように簡略化される。
Figure 0004791954
ビットx、x、およびxについて「1」を有するシグネチャは、セルcから排他的に到着するエラーによって生成することもできることがわかる。残りのセルにはエラーはない。
ここで、同様の情報を使用して、ビットxおよびxの2つの「1」のみを有する他のシグネチャについて欠陥走査セルを決定することを想定する。トリプレット{c,c,c}および{c,c,c}を使用して、以下の式を得る:
+c+c =1
+c +c+c+c=1
+c+c+c =0
+c+c +c=0
+c=0 (14)。
上式は、以下のように簡略化される。
Figure 0004791954
セルcおよびセルcは、エラー信号を捕獲するセルとして識別される。実際には、エラープリントEおよびEを追加することにより、(x+x+x)+(x+x+x)=x+xが得られる。
コンパクタ設計の考察
先行セクションにおいて示したように、欠陥走査セルは、トリプレットの1つのリストを選択し、1組の線形の式を形成し、式を簡略化する(たとえば、ガウスジョルダン消去法により)ことによって、1つの例示的な方法に従って識別することができる。しかし、エラーシグネチャが与えられると、トリプレットの異なる組に到達することが可能であり、これは、異なる(有効ではあるが)解をもたらすことがある。したがって、診断アルゴリズムが、欠陥走査セルの実際の位置に整合する解を可能な限り迅速に見つけることが通常望ましい。この目的は、特定の走査セルが実際のエラーを捕獲することができる可能性を追加として考慮し、その後、得た結果を検査することによって達成することができる。
欠陥走査セルの最も可能性の高い位置を決定するために、特定の設計のコンパクタの構造から導出される追加情報によって、トリプレット選択プロセスを誘導することができる。この技法の詳細について、次のセクションにおいて提示する。しかし、解の数を妥当な数に減らすように管理する場合でも、エラーを捕獲する実際の走査サイトを選択するために、ある基準を使用することが望ましいはずである。通常、すべての候補解は、記録されているシグネチャに関する限り、同等である。様々な場合、区別不可能な解を有する現象は、追加のシグネチャを使用することによって克服することができる。適切な解に到達するために、第2シグネチャを使用することは、必須ではない。しかし、第2シグネチャは、性能利得を上げる可能性があり、したがって、例示的な技法として以下において使用される。
1つの例示的な実施形態によれば、第2シグネチャは、注入装置多項式の異なる組を使用する同上の試験応答から生成することができる(いくつかの場合、以下で議論するように、以前の多項式で十分である可能性があるが)。2つのシグネチャSおよびSが与えられると、第2組の注入装置多項式を使用して、Sについて得た解をその後シミュレーションして、Sを生成することができるかを調べることができる。生成できない場合、他の解を決定することが望ましい。モンテカルロ実験を使用して、多重度kのエラーパターンおよび注入装置多項式の2つの組により当初得られた所与の対のシグネチャSおよびSを生成する、走査セルの2つ以上の異なる組を得る確率を評価した。1、2、および4の出力を有し、かつ50の走査チェーンによって駆動される16、24、および32ビットのコンパクタの例示的な実施形態に対するこれらの実験の結果を、以下の表13に示す。
Figure 0004791954
表13に示した結果を得るために、多重度kの最高で500の異なるエラーパターンを無作為に生成し、次いで、対応するシグネチャSおよびSを計算した。次に、各Sについて、250,000の異なる解を決定した。表のエントリは、各場合にシグネチャSをも生成することができる(250,000からの)解の平均数である。表13によって示すように、平均数は、各Sを構成する多数の解にもかかわらず、1より大きいことはまれである。
同じ試験実験中に2つのシグネチャを収集するために、同じ基本設計を有するが、異なる注入装置多項式を有する他のコンパクタを使用することが可能である。両方のコンパクタは、並行して機能し、2つの独立したシグネチャを生成することができ、したがって、生成された解の迅速な検査を見込む。注入装置ネットワークの入力が、わずかに修正された方式で駆動され、かつ選択回路(たとえば、1×2デマルチプレクサ)が、両方のシグネチャを記憶する選択された宛先レジスタに注入装置ネットワークの出力を接続するように作用することを条件として、同じ結果を、単一注入装置ネットワークで達成することもできる。そのような構成の例示的な実施形態を図29に示す。図29では、隣接する走査チェーン2902の対は、2×2モジュラスイッチ2904を経て、注入装置ネットワーク2910の多項式に接続される。各スイッチ2904は、直線接続モードまたは交換相互接続モードで設定することができる。制御線2906は、走査チェーンがどのように注入装置ネットワークを特定の時間に供給すべきかを示す。制御線2906は、デマルチプレクサ2908を経た注入装置ネットワーク出力の宛先レジスタを選択することもできる。隣接走査チェーンの出力を交換することによって、2つの異なる独立したシグネチャを生成することができる。さらに、示した方式は、複雑な配線を必要としないので、レイアウトおよび経路指定が容易である。図29に示した例示的な構成を使用して、コンパクタは、2つのシグネチャを並行して記録する能力を有することができる。
示したコンパクタを動作する1つの例示的な方法によれば、走査捕獲サイクルが完了した後、各走査シフトサイクル(たとえば、走査チェーンを経た捕獲試験値の各シフト)は、2つの連続サブサイクルに分割される。第1サブサイクル中、モジュラスイッチ2904およびデマルチプレクサ2908は、直接接続モードにおいて動作するように構成される。直接接続モードでは、第1組のシグネチャビットが、第1組の注入装置多項式を介して第1組のメモリ要素2912にロードされる。第2サブサイクル中、モジュラスイッチ2904およびデマルチプレクサ2908は、交換相互接続モードで動作するように切り替えられる。交換相互接続モードでは、第2組のシグネチャビットが、第2組の注入装置多項式を介して、同じ走査チェーン値から生成される。このモードでは、第2組のシグネチャビットは、第2組のメモリ要素2914にロードされる。したがって、両方のシグネチャレジスタが、同じ試験応答から得られる固有のエラーパターンを受け取る。各パターンは、走査チェーンと注入装置多項式との間の2つの異なるマッピングによって形成される。
例示的な重み関数
最も可能性の高い解のみを生成するために、1つの例示的な実施形態におけるトリプレット選択プロセスは、各トリプレットに関連付けられる重み関数によって誘導される。この実施形態によれば、所与のトリプレットの重みは、そのメンバ走査セルが実際のエラーパターンに属する確率に比例する。一般に、トリプレット{c、c、c}の重みは、以下の式によって与えることができる:
W{c,c,c}=(B)(S)(1+C+C+C) (16)。
式(16)では、(B)は、トリプレットセルがシグネチャにおいて設定する数「1」を説明し、一方、残りの2つのファクタ((S)および(1+C+C+C))は、以前に適用された試験ベクトルについて得られた他のエラーパターンにおける同じセルの存在を説明する。
係数Bの計算は、各走査セルに関連付けられた個々のカウンタによって実施することができる。この議論の目的では、この値は、走査セルの重みと呼ばれる。これは、セルcから到着するエラーによって設定することができるシグネチャSおよびSの両方における「1」の総数として確定される。この情報は、セルのエラープリントから容易に取り出すことができる。次いで、セルcの時間フレームと同じ時間フレームを有するすべての走査セルにわたって重みを合計することによって、Bの値を決定することができる。
例として、図28に示した例示的なコンパクタ2800について再び考慮する。例示的なコンパクタ2800は、それぞれが5つのフリップフロップを有すると想定される6つの走査チェーンによって駆動される。例示的なコンパクタは、走査チェーン出力の対0&1、2&3、および4&5に結合され、それにより交換相互接続モード(または交換モード)において交換することができる、複数の2×2モジュラスイッチをさらに含むと想定する。最後に、欠陥走査セルが、位置(4,1)を有するとする。図28から、この特定のセルのエラープリントは、x+x+y(直線モード)およびx+y+y(交換モード)によって与えられることがわかる。したがって、結果的なシグネチャSおよびSは、以下のようになる(ビットxおよびyは、左辺に出現する):
=0101000,0100000 S=0001000,0110000 (17)。
成功走査セルの対応する重みは、この場合、以下の値を有する:
102101+110110=212211 [9]
221232+122132=343364 [23]
001100+102111=103211 [8]
111010+110101=221111 [8]
000000+000000=000000 [0] (18)。
初めの2つの列は、それぞれシグネチャSおよびSに対応し、一方、第3列は、実際の重みを表す。最後の列(括弧内)は、結果的な係数Bを与える。同じ時間フレームを有する走査セルは、同じ値Bを有することに留意されたい。さらに、欠陥走査セルの重みは、式(18)の最大値であり、値「6」を有する。
そのような使用法に制約されないが、個々のセルの重みではなく、累積統計Bを使用することが望ましいことがある。その理由は、個々のセルの重みは、トリプレット選択プロセスを謝った方向に誘導することがあるからである。たとえば、走査チェーン出力対においてモジュラスイッチを有する図28に示したコンパクタについて再び考察する。ここで、エラーパターンが、3つの走査セル:(2,1)、(3,2)、および(4,1)からなると想定する。これらの欠陥メモリ要素は、以下のシグネチャを生成する:
=0010100,0100000 S=0001000,0011000 (19)。
したがって、重みの計算により、以下の値を得る:
201112+100000=301112 [8]
011+121=132 [14]
21121+11221=32342 [17]
001100+211112=212212 [10]
111010+000000=111010 [4] (20)。
エラーを捕獲するセルに関連付けられる重みは、必ずしも最大ではないことがわかる(欠陥セルに対応するシグネチャビットに下線がつけられている)。エラーの最も可能性の高いサイトを示すために直接使用される場合、これらの数は、計算がより非効率的な診断プロセスをもたらす。そのような方法は、同じ時間フレームに関する限り、特定の走査セルを区別しない。それにもかかわらず、最大重みを有する時間フレームに属するセルが、依然として優先される。この例では、たとえば、最大累積重みは、実際の欠陥セルを含む走査セルの部分組を正確に識別する。
トリプレット選択プロセスは、係数Bがシグネチャ事前処理段階中に得られた情報を搬送することを可能にすることによって、さらに改善することができる。この例示的な技法は、走査セルが、エラーをシグネチャに注入するときに、コンパクタのレジスタにおいて互いに特定の方式で相互作用するいくつかの一般的なシナリオを検出することを目的とする。したがって、走査セルが、実際の欠陥走査セルの組の一部である可能性が高いと認識された場合、それに応じて、個々の重みを増大させることができる。分析は、主に少なくとも以下の原因のために、エラーがシグネチャに出現することを示した:(a)単一走査セルが、形態x+y+zのエラープリントを生成する(個々のカウンタは、3に等しい);(b)2つの走査セルが、そのエラープリントが以下の形態を想定するので、4つの「1」をもたらす:
=x +y+z
=x+y +z (21);
(c)2つの走査セルが、そのエラープリントの残りの要素の相互マスキングの後、2つの「1」を出力する:
=x+y+z
=x+y +z (22);
(d)3つの走査セルが、以下に示すように相互作用するので、5つの「1」を生成する:
=x +y +z
=x +y +z
= x+y +z (23);
(e)3つの走査セルが、そのエラープリントが以下のように調整されることを条件として、3つの「1」をもたらす:
=x +y+z
=x +y+z
= x+y +z (24);
(f)3つの走査セルは、上記の式(4)および(5)によって示すように、シグネチャにおいて単一の「1」を残すこともできる;および(g)様々な場合の中でも、4つの走査セルが、たとえば以下のパターンを使用することにより、それらの1つの全エラープリントをマスクすることによって、6つの「1」を生成することができる:
=x +y +z
=x +y +z
= x +y +z
= x +y+z (25)。
いくつかの走査セルは、バーストエラー(すなわち、1つまたは2つの走査チェーンによってホストされたいくつかの隣接走査セルから注入されたエラー)に対応するチェーン構造を形成することもできる。
以前の試験パターンについて得た故障診断結果は、重みの計算についても考慮される。多くの刺激では、故障は、同じ走査セル、または少なくとも同じ走査チェーンに伝播する。欠陥セルであるとすでに宣言されたセルをホストする走査チェーンに位置するセルを含むトリプレットを優先することによって、この観測を利用することができる。係数Sは、以下の方式でこれらの事象を説明するように設定することができる:セルcを含む走査チェーンが、事前に指定された回数Qより多く以前の解に出現した場合(Qは1より大きい)、S=Bとし、そうでない場合はS=1とする。1つの例示的な実施形態では、閾値Qの値は、エラー信号を受信する限り、識別された走査チェーンのすべての出現のある端数であるように設定される。たとえば、この文献で報告する実験のすべては、Aをすべての走査チェーンにわたる以前の解における出現の和として、Q=0.02Aと想定する。
式(16)は、係数Cを使用することによって、個々の走査セルも考慮に入れる。原理的には、この成分は、所与のセルが、以前に適用された試験パターンの解の一部であることが判明した回数を表す。しかし、これらのファクタが無限に増大することを防止するために、これまで使用された試験パターンの総数によってさらに除算することが可能である。
ある実施形態では、係数SおよびCに関する重みの情報は、連続解が得られた後、増分して更新され、効率的な診断手続きとなる。実際には、この手法は、診断アルゴリズムが新しく得られたデータに基づいてその処理を修正する、経験から学習するプロセスに類似している。この技法の追加の特徴および態様について、次のセクションで詳述する。
例示的な故障診断アルゴリズム
このセクションは、上述したいくつかの原理および特徴をより完全な故障診断アルゴリズムに組み合わせる。記述する方法は、本明細書において開示する原理を使用する故障診断を実施する単に1つの例示的な方法であり、限定として解釈されるべきではない。代わりに、本開示は、上記で議論した原理、特徴、および態様の1つまたは複数を使用するすべての方法を包含する。
例示的な実施形態では、欠陥走査セルを見つけるプロセスは、反復して進行する。最も簡単な場合から開始して、例示的な実施形態は、以前の工程において得られた情報に基づいて、より複雑な問題を解決することを段階的に試行する。
図30は、故障を診断する例示的な実施形態のフローチャート3000である。図30に示した例示的な方法は、たとえば、図9および図28に例示したコンパクタのアーキテクチャ、ならびに本明細書において開示する他の実施形態と関連して使用することが可能である。プロセス・ブロック3002において、エラーシグネチャおよびその和が、少なくとも1つの試験パターンについて決定される。1つの具体的な実施態様では、たとえば、シグネチャSおよびSが、各試験パターンtおよび計算されたビットごとの論理和LSについて決定される。プロセス・ブロック3004において、シグネチャは分類される。1つの具体的な実施態様では、シグネチャLSは、それが装備する数「1」に関して昇順で分類される。これらの2つのプロセス(プロセス・ブロック3002およびプロセス・ブロック3004)は、通常、所与の試験の組についてすべてのシグネチャが収集された後、実施される。
留意したように、1つの具体的な実施態様は、シグネチャSおよびSの各対について、復号シグネチャLSをSおよびSのビットごとの論理和として決定することを含む。LSにおいて生じる「1」の数は、エラーパターンの複雑さの粗い推定を提供し、したがって、欠陥走査セルを特定する試行における困難度の近似を提供する。実際、様々な実験が、ほとんどの場合、より複雑なエラーパターンが、対応するシグネチャにおいてより多くの「1」を包含することを示す。例示的な方式の自己学習行動が与えられると、すべての複合シグネチャを、それが装備する「1」の数に従って昇順で配置することが通常望ましい。したがって、まず、欠陥走査セルの予想数が比較的小さい場合について、診断することができる。その後、以前の結果に依拠して、例示的な方式は、より多くの欠陥セルを有するエラーパターンの成分を識別することを試行することができる。
プロセス・ブロック3006において、対象シグネチャを選択する。1つの具体的な実施形態では、少なくとも1つの複合シグネチャLSの元のシグネチャが取り出され、シグネチャSの1つが選択される。このプロセスは、シグネチャがプロセス・ブロック3004において分類される順序で実施することが可能である。一般に、複合シグネチャLSは、特定の試験結果を手続き中に処理すべきであるときの的確な尺度を提供する。しかし、欠陥走査セルを識別する基本的なタスクでは、検査段階中の基準として、元のシグネチャSをシグネチャSと共に使用すること(逆もまた同じ)が望ましい。計算を実施するためにシグネチャSまたはシグネチャSを使用するかは、通常、各シグネチャに存在する「1」の数に依存する。上記で議論したように、コンパクタのレジスタに注入された様々なエラーは、互いに消去することが可能であり、したがって、比較的少数のエラー信号を有する診断手続きとなる(エラーパターンの多重度と比較して)。診断の論拠は、シグネチャに存在する「1」に基本的に依存するので、エラーマスキングは、トリプレットの無作為に選択された組が、エラーを捕獲するすべての走査セルを含まない確率を増大させることがある。したがって、一実施形態では、より多数の「1」を有するシグネチャが選択される。
プロセス・ブロック3008において、適切なトリプレットが、目的シグネチャについて選択される。1つの特定の実施態様では、対応するトリプレットの組が、対象シグネチャSの各「1」について見つけられる(たとえば、選択されたシグネチャSの連続する「1」について)。対応するトリプレットを見つける1つの例示的な手続きには、1組(またはリスト)の候補トリプレットを創出することと、組から1つのトリプレットを選択することとが含まれる。候補トリプレットの組は、たとえば、最も適切なトリプレット(たとえば、上述した重み関数によって決定された)のみを備えることが可能である。1つの特定の実施態様では、組の残りのトリプレットは保存され、あらゆる新しく創出される組が、他のリストにおいて出現するせいぜい1つの走査セルを有するトリプレットのみを含むように、他のトリプレットの組の創出中に参照される。
1つの特定の実施態様では、トリプレットは、創出された候補トリプレットの組から無作為にサンプリングされる。特定のトリプレットを選択する確率は、重みに比例するように設定することができる。たとえば、連続トリプレットに関連するすべての重みは、周波数ヒストグラムのエントリとして見なすことができ、したがって、周波数に対する累積ヒストグラムに容易に変換することができる。そのようなヒストグラムは、後で正規化することができる累積ヒストグラムを得るために、所与の位置について維持されているすべての重みを共に加算することによって得ることができる。一実施態様では、正規化定数は、含まれているすべてのトリプレット重みの和である。累積統計の形態で重みデータを得た後、トリプレットを選択することができる。たとえば、G.S.フィッシュマン(Fishman)、「Discrete−Event Simulation」、Springer−Verlag、ニューヨーク(2001)によって記載されているような逆変換法を使用することが可能である。
プロセス・ブロック3010において、選択されたトリプレットおよびその関係するシグネチャに基づいて、1組の線形の式が形成される。プロセス・ブロック3012において、線形の式の組を簡略化する。たとえば、1つの例示的な実施態様では、ガウスジョルダン消去法が使用される。ガウスジョルダン消去法を使用することによって、この実施態様は、連続する列を同一形態に簡略化することができる限り、初期の解(すなわち、選択トリプレットからのすべての走査セルを備えるリスト)を改善することを試行する。この手法は、初期の解が実際のエラー試験応答を構成するすべての走査セルからなる限り、収束する。そうであっても、所望の解が得られない確率が依然として存在する。たとえば、含まれている走査セルの数が式の数より多い場合、等価な解の存在は、欠陥セルの実際の組の識別を除外することがある。そのような事象の可能性を最小限に抑えるために、最大の重みを有する走査セルを表す要素を、その要素が選択される対角位置にまず配置するように、式のある列を初めに交換することができる。この技法を使用して、以前の解における出現に基づく最終解の一部である可能性が最も高い変数(走査セル)が優先されることを保証することができる。
プロセス・ブロック3014において、結果的な解が両方のシグネチャを生成するかを判定する。両方のシグネチャが生成される場合、プロセス・ブロック3016において、解を受け取り、対応する重み情報を更新し、プロセスは、次のシグネチャLSについて繰り返される。現行解が第2シグネチャを生成しない場合、例示的な方法は、プロセス・ブロック3008に戻る。これは、トリプレット選択プロセスを新たに開始することとほぼ同等である。ある状況では、少数の妥当な解を生成して、追加の選択基準を使用して最終解を選ぶことが望ましい可能性がある。たとえば、基準は、最小数の走査チェーンまたは最小数の走査セルを有する解を選択するとすることが可能である。例示的な方法の時間効率は、どの程度迅速にトリプレットの適切な組を見つけるかに大きく依存する。以下の実験結果のセクションにおいて、欠陥走査セルの成功識別を完了するのに必要な反復の平均数をいくつかの実験について与える。
例示的な方法は、開示する原理から逸脱せずに、いくつかの方式で修正することができる。たとえば、方法は、平均成功診断時間が、シグネチャの「1」の数が増大する際に制限されるように修正することができる。この修正は可能であるが、その理由は、エラー信号は、シグネチャにおいてクラスタとしてしばしば出現するからである。したがって、例示的な方法の実施形態のいずれも、全シグネチャについてではなく、各クラスタについて個々に実施することができる。そのようなクラスタを迅速に識別するために、走査セルカウンタを使用することができる。所与の時間フレーム(または連続時間フレーム)に関連するすべてのカウンタがゼロに等しい場合、シグネチャにおける対応する無エラー位置は、診断プロセスを分割する方式を表すと見なすことができる。
実験結果
上述した方法の例示的な実施態様を使用して、いくつかの実験を実施した。具体的には、例示的な実施態様は、以下の行為を備えていた:(1)各試験パターンtについて、シグネチャSおよびSを決定し、そのビットごとの論理和(OR)LSを計算した;(2)複合シグネチャLSを、それが装備する「1」の数に関して昇順で分類した;(3)分類順序に続いて、元のシグネチャSおよびSを各シグネチャLSについて取り出し、「1」の最大数を有する対象シグネチャSを選択した;(4)対象シグネチャSに出現する各「1」について、走査セルの1組の対応するトリプレットを創出し、その組の1つのトリプレットを無作為に選択した(組の残りのトリプレットは、同じトリプレットを再度処理することを回避するために保存された);(6)選択されたトリプレットおよび関係するシグネチャに基づいて、線形の式の組を形成した;(7)ガウスジョルダン消去法を使用して、線形の式の組を簡略化した;(8)結果的な解が両方のシグネチャを生成した場合、解を受容し、そうでない場合は、トリプレットの創出および選択プロセスを繰り返した。
実験の1つの目的は、収集された試験応答に基づく連続試験パターンについて欠陥走査セルの当初のサイトを再創出するために、例示的な方式の能力を調査することであった。実験は、いくつかの大規模ISCAS’89ベンチマーク回路および業界設計について実施された。ゲート、走査セル、および走査チェーンの数を含めて、試験された回路の特性を、それぞれ表14および15に与える。市販ATPGツールを使用して、実験に使用された試験の組を生成した。
Figure 0004791954
価値のある2つの基本的なフィギュアを使用した:診断方式の診断カバレージおよび計算の複雑さ。試験の組Tが与えられると、診断カバレージが、診断可能な故障の割合として確定される。診断アルゴリズムを使用して、故障によって影響を受けるすべての走査セルを的確に識別することができる場合、故障は診断可能であると言われる。試験の組は、各故障を数回検出することができ、影響を受けた走査セルの部分組は、毎回異なる可能性があることに留意されたい。この議論の目的では、T(f)⊂Tが故障fを検出する試験パターンの部分組であり、かつ異なるエラーパターンをもたらすとする。また、C(f,t)を、試験パターンt∈T(f)が適用されたとき、故障fによって影響を受ける走査セルの組とし、D(f,f)を、例示的な診断手続きによって決定される欠陥走査セルの組とする。次いで、診断カバレージを以下の2つの異なる方式で測定することができる:(1)基本的な診断カバレージ、および(2)複合診断カバレージ。
基本診断カバレージ(BDC)では、対応する故障走査セルが完全に的確に識別される少なくとも1つの試験パターンが存在する場合、故障の診断は成功であると宣言される。したがって、BDCは、以下の式によって与えられる。
Figure 0004791954
上式で、Fは故障の総数、dは、C(f,t)=D(f,t)であるようにtが存在するとすれば1に等しく、そうでない場合は、dは「0」に等しい。
複合診断カバレージでは、故障fを検出するT(f)からの試験パターンが与えられると、診断能力が、エラーパターンの一部として決定される(すなわち、的確に識別することができる欠陥走査セルの組)。この場合、複合診断カバレージ(CDC)は、以下のように表すことができる。
Figure 0004791954
上式で、ωは、T(f)からの試験パターンが適用されたとき、fによって生じる異なるエラーパターンの数、dijは、C(f,t)=D(f,t)である場合、「1」に等しく、そうでない場合は「0」に等しい。式(27)の第2和は、異なるエラーパターンをもたらす試験パターンを示す指標jによって管理されることを思い出されたい。
価値のある第2の数である診断方式の計算の複雑さは、生成されるトリプレットの組の数として報告され、その後、故障によって影響を受ける走査セルのサイトが、適切に識別される。例示的な手続きを実施するために必要な反復数は、主にトリプレットリストのサイズによって影響を受ける。このファクタは、通常、以下のようにコンパクタのアーキテクチャの詳細に依存する:(1)反復数は、走査チェーンの数の増大と共に増大する(より多くの注入装置多項式は、所与のシグネチャビットを設定するより多くの機会をもたらす);(2)反復数は、コンパクタのサイズMの増大と共に減少する;および(3)コンパクタのサイズが与えられると、反復数は、出力(またはサブレジスタ)の数の増大と共にさらに減少する。したがって、過度に短いまたは過度に長いトリプレットの組を使用することによって、診断時間効率または診断の質を損わないコンパクタのアーキテクチャを選択することが望ましい。
ISCAS’89ベンチマーク回路について表14において報告したすべての実験では、コンパクタの3つの異なる例示的な実施形態が使用された。各コンパクタについて、メモリ要素の数Mおよびコンパクタ出力の数を表の指定の列において与える。メモリ要素の数は、使用可能な多項式の総数が走査チェーンの数より著しく多くなるように選択された。一般に、そのような設計により、エラーマスキングの確率は低減され、かつ診断分解能は高く維持される。ISCAS’89回路のそれぞれについて、以下の情報も表において提供される:ゲートおよび走査セルの数、走査チェーンに伝播する障害の数、基本診断カバレージ、および複合診断カバレージ。基本診断カバレージおよび複合診断カバレージが処理時間にどのように依存するかを示すために、表14は、影響を受けた走査セルを的確に識別するために必要な反復数が所与の閾値に設定されるときに得られる結果的な診断カバレージをも示す。閾値は、基本診断カバレージ(BDC)および複合診断カバレージ(CDC)の見出しの下の3つのそれぞれの列に示されている。表14からわかるように、非常に高いBDCが、すべての調査回路について達成された。さらに、多数回検出された障害によって生成された様々なエラーパターンにもかかわらず、わずかに劣るCDCが、すべての場合において観測された。適切な解が見つけられる前に実施された反復の平均数(すべての障害にわたる)は、表の最後の列において提供され、10,000の反復閾値を想定する。この列に示すように、最適な診断結果は、各場合に1,200未満の反復において達成された。
追加の実験が、5つの業界設計について実施された。いくつかの代表的な結果を表15に提示する。表15に示した設計では、32ビット2出力コンパクタが使用される設計D1を除いて、48ビット4出力コンパクタが使用された。さらに、これらの実験中、未知状態のすべての源がマスクされた。非常に高い基本診断カバレージが、すべての場合に達成されたことがわかる。さらに、複合診断カバレージは、決して83%未満にはならなかった。
Figure 0004791954
一般に、開示するコンパクタのアーキテクチャと関連する開示する診断方式は、埋込み決定論的試験の要件と極度によく整合する特性を提示する。この方式の実施形態は、故障回路のコンパクト化試験応答から欠陥走査セルを直接識別する能力を提供することによって、非常に高い質の試験を支持する。したがって、従来の試験および診断の技法とは対照的に、開示する手法は、試験パターンの1回の適用中に、すべての該当する診断データを収集することができる。この特徴を使用して、試験装置の要件および製造試験フローを大きく簡略化することができる。
分散コンピュータネットワークを使用して、上述した技術の態様のいずれかを実施または設計することが可能である。図31は、1つのそのような例示的なネットワークを示す。サーバコンピュータ3100が、関連する記憶装置3102を有することができる(サーバコンピュータの内部または外部にある)。たとえば、サーバコンピュータ3100は、上述した実施形態のいずれかによるコンパクタ構成要素を設計する(たとえば、設計、検査、またはシミュレーションのツールなど、EDAソフトウェアツールの一部として)、または開示する実施形態のいずれかによる障害診断技法を実施する(たとえば、埋込み決定論的試験(EDT)もしくはATPGツールなど、EDAソフトウェアツールの一部として)ように構成することができる。サーバコンピュータ3100は、たとえばワイドエリアネットワーク、ローカルエリアネットワーク、クライアントサーバネットワーク、インターネット、または他のそのようなネットワークを備えることができる3104において全体的に示すネットワークに結合することが可能である。3106、3108において示すような1つまたは複数のクライアントコンピュータを、ネットワークプロトコルを使用して、ネットワーク3104に結合することが可能である。
図32は、図31に示したサーバコンピュータ3100など、遠隔サーバコンピュータを使用する本明細書において開示する実施形態のいずれかによるコンパクタアーキテクチャの設計情報を含むように、設計情報(たとえば、ネットリストまたはHDLデータベース)を含むデータベースを更新することが可能であることを示す。プロセス・ブロック3250において、たとえば、クライアントコンピュータは、開示する圧縮方法のいずれかを使用して、および/または開示するコンパクタアーキテクチャのいずれかを使用して圧縮される試験応答を捕獲する走査チェーンを有する回路に関する設計データを送信する。たとえば、クライアントコンピュータは、ネットリストまたは他のEDA設計データベースを送信することが可能である。プロセス・ブロック3252において、データは、サーバコンピュータによって受信され、ロードされる。プロセス・ブロック3254において、データベースによって確定される回路は、開示する実施形態のいずれかによるコンパクタアーキテクチャを含むように更新される。次いで、更新された設計を表す新しいデータベースを創出することができる。この新しい設計データは、設計データベースの更新バージョンまたは1つまたは複数の別のデータベースとして記憶することができる。プロセス・ブロック3256において、サーバコンピュータは、更新データベースまたは他のデータベースをクライアントコンピュータに送信し、クライアントコンピュータは、プロセス・ブロック3258においてデータベースを受信する。当業者には、図32に示した例は、該当する設計データを含むために設計データベースを更新する唯一の方式ではないことが明らかなはずである。たとえば、設計データは、ネットワーク上になく、かつサーバに別個に送信されるコンピュータ可読媒体に記憶することが可能である。または、サーバコンピュータは、設計手続きの一部のみを実施することが可能である。
本明細書において記述する故障診断手続きのいずれかは、図32に関して上述したネットワーク上で実施することも可能である。たとえば、開示した技術によるコンパクタを有する回路の設計情報を記憶するコンピュータ可読媒体を、図31に示したサーバコンピュータ3100など、遠隔サーバコンピュータに送信することが可能である。次いで、遠隔サーバコンピュータは、記憶された回路設計の障害診断手続きおよびコンパクタアーキテクチャの開示した実施形態の1つを実施することができる。次いで、故障診断手続きの結果(たとえば、設計における欠陥走査セルのリスト)を別のまたは更新されたデータベースに記憶することができる。次いで、結果を記憶するコンピュータ可読媒体を、再びクライアントコンピュータに送信することができる。
示した実施形態の原理について示し、記述してきたが、当業者には、実施形態は、そのような原理から逸脱せずに、構成および詳細について修正することができることが明らかになるであろう。たとえば、1つまたは複数のレジスタ(たとえば、シャドーレジスタ)を使用して、コンパクタの出力からの値を受信および記憶(並列または直列で)することができる。上述した構成要素のいずれも、所望の機能を達成するように、様々な異なる論理ゲートを使用して作成することができる。上述した選択回路の他に、開示したコンパクタは、当技術分野において既知の様々な他の選択および制御回路と共に使用することができる。
多くの可能な実施形態を考慮すると、示した実施形態は、例のみを含み、本発明の範囲に対する限定として見なされるべきではないことが理解されるであろう。むしろ、本発明は、以下の請求項によって確定される。したがって、本発明として、これらの請求項の範囲内にあるすべてのそのような実施形態を主張する。
集積回路を試験する自動試験機器を使用する従来の技術のシステムのブロック図である。 組込み型自己試験システムを使用する従来の技術のシステムのブロック図である。 従来の技術の外部フィードバックLFSRコンパクタの回路図である。 従来の技術の外部フィードバックLFSRコンパクタの回路図である。 走査チェーンから入力を受け取ることを示す、複数入力シグネチャレジスタ(MISR)コンパクタの従来の技術のアーキテクチャの回路図である。 従来の技術のパイプライン化空間コンパクタの回路図である。 例示的なコンパクタの実施形態を備える集積回路のブロック図である。 メモリ要素およびコンパクタ出力を備える、図7の例示的なコンパクタの1つの形態をより詳細に示すブロック図である。 図7のコンパクタにおいて使用することができるような例示的な注入装置ネットワークの1つの形態を示すブロック図である。 1つの例示的な方法による注入装置ネットワークを設計するプロセスを示すブロック図である。 図10に示したゲート構成からの例示的な出力パターンを示す図である。 フィードバックループを含むコンパクタの実施形態を示す図である。 走査チェーン出力の一部を選択的に遮断する例示的な選択回路の1つの形態を有するコンパクタの実施形態を示す図である。 走査チェーン出力の一部を選択的に遮断する選択回路の代替形態を有する他のコンパクタの実施形態を示す図である。 例示的なバイパスモードを含むコンパクタの実施形態を示す図である。 バイパスモードの代替形態を含む他のコンパクタの実施形態を示す図である。 構成レジスタによって制御される選択装置ネットワークの1つの形態に結合されたコンパクタの実施形態を示す図である。 カウンタによって制御される選択装置ネットワークの他の形態に結合されたコンパクタの実施形態を示す図である。 例示的な直列入力並列出力レジスタに結合された入力を有するコンパクタの実施形態を示す図である。 それぞれの走査チェーンの複数の走査セルに結合された入力を有するコンパクタの実施形態を示す図である。 出力論理により1組のメモリ要素に結合される出力を有する注入装置ネットワークの実施形態を示す図である。 例示的な直列入力並列出力レジスタに結合される出力を有するコンパクタの実施形態を示す図である。 フィードバックループを含む他のコンパクタの実施形態を示す図である。 様々な例示的なコンパクタについて、未知状態を生成する走査セルの一部の関数として走査セルの可観測性を示すグラフである。 様々な例示的なコンパクタについて、異なるレベルのコンパクションにおける未知状態のために遮断された走査セルを示すグラフである。 例示的なコンパクタの様々なレジスタのサイズについて、診断分解能をエラー多重度の関数として示すグラフである。 様々な例示的なコンパクタについて、診断分解能を時間スパンの関数として示すグラフである。 例示的なコンパクタの1つの形態を設計する方法のフローチャートである。 コンパクタの例示的な実施形態を使用して試験中回路の試験応答を圧縮する例示的な方法のフローチャートである。 例示的なコンパクタを設計する方法の他の実施形態のフローチャートである。 開示する故障診断手続きの特徴および態様を示すために使用されるコンパクタの実施形態を示す図である。 故障診断中に使用することが可能である例示的な切替え方式を示すコンパクタの実施形態を示す図である。 開示するコンパクタの実施形態を使用して故障を診断する例示的な方法のフローチャートである。 開示する技術による、または開示する診断手続きの実施形態を実施するコンパクタの設計および/または実施に使用することが可能であるクライアント/サーバネットワークのシステム図である。 たとえば図31のネットワークの使用によるデータベースの創出を示すフローチャートである。

Claims (77)

  1. 集積回路(704)の試験応答を圧縮する方法であって、
    走査チェーン(702)の複数の走査セルの1つからの試験値を、前記走査チェーン(702)のアンロード期間中に受信するステップと、
    論理(708)により前記試験値によって少なくとも部分的に決定される少なくとも2つの中間値を生成するステップと、
    前記中間値の少なくとも一部を複数のメモリ要素(710)にロードするステップと、
    前記中間値によって少なくとも部分的に決定される1組の少なくとも2つの出力値(714)を生成するステップであって、少なくとも2つの出力値の前記組(714)は、前記中間値によって少なくとも部分的に決定されるすべての出力値(714)を備える、ステップと、
    観測期間にわたって少なくとも2つの出力値の前記組(714)を出力するステップであって、前記観測期間は、少なくとも2つのクロックサイクルを備え、かつ前記アンロード期間が終了する前に終了する、ステップと、
    を備える方法。
  2. 請求項に記載の方法であって、
    前記試験値が、出力値の前記組(714)を分析することによって予測される試験値であるかを決定するステップを、
    さらに備える方法。
  3. 請求項に記載の方法であって、
    出力値の前記組(714)に基づいて、前記集積回路(704)においてエラー源を特定するステップを、
    さらに備える方法。
  4. 請求項に記載の方法であって、未知の試験値は、前記観測期間に関連する時間中に前記論理に入力され、出力値の前記組(714)は、前記未知の試験値によって少なくとも部分的に影響されない、方法。
  5. 請求項に記載の方法であって、少なくとも2つの出力値の前記組(714)は、異なるそれぞれのメモリ要素から同時に出力される少なくとも2つの出力値を備える、方法。
  6. 請求項に記載の方法であって、前記メモリ要素(710)の少なくとも2つは、無フィードバック論理により接続される、方法。
  7. 請求項に記載の方法であって、前記複数のメモリ要素(710)は、1つまたは複数のレジスタを少なくとも部分的に備える、方法。
  8. 請求項に記載の方法であって、前記少なくとも2つの出力値(714)を生成するステップが、前記メモリ要素(710)を経て前記中間値の少なくともいくつかを連続的にシフトさせるステップを備える、方法。
  9. 請求項に記載の方法であって、前記観測期間は、前記アンロード期間の2分の1より短い、方法。
  10. 請求項に記載の方法であって、前記観測期間は、前記アンロード期間の100分の1より短い、方法。
  11. 請求項に記載の方法を複数の前記試験値について反復するステップを備える、集積回路(704)を試験する方法。
  12. 請求項に記載の方法が、複数の試験値について同時に実施されるステップを備える、集積回路(704)を試験する方法であって、前記論理は、1、2、または奇数のエラーマスキングを排除するように構成される、集積回路を試験する方法。
  13. 請求項に記載の方法が複数の試験値について同時に実施され、
    前記出力値が前記試験値における1、2、または奇数のエラーを示す方法
  14. コンピュータシステムに、
    走査チェーンを有する回路の回路設計データを受信するステップと、
    請求項に記載の方法を実施するように構成され且つ前記走査チェーンに結合されるコンパクタ(706)の設計データを含むよう前記回路設計データを更新するステップと、
    前記更新された回路設計データを記憶するステップと
    を含む方法を実行させるコンピュータ実行可能命令を記憶するコンピュータ可読媒体。
  15. 走査チェーンを有する回路の回路設計データを受信し、請求項に記載の方法を実施するように構成され且つ前記走査チェーンに結合されるコンパクタ(706)の設計データを含むよう前記回路設計データを更新するコンピュータシステムにより生成される更新された回路設計データを記憶するコンピュータ可読媒体。
  16. 集積回路の試験応答を圧縮する方法であって、該方法は、
    試験値を、組合せ論理を備えるネットワークに入力するステップであって、前記試験値は、アンロード期間中に走査チェーンの複数の走査セルの1つから入力される、ステップと、
    前記試験値を、前記ネットワークの少なくとも2つのファンアウトにより拡張させるステップと、
    前記拡張された試験値から2つ以上の中間値を生成するステップと、
    前記中間値を複数のメモリ要素にロードするステップと、
    少なくとも2つのクロックサイクルの観測期間にわたって、前記複数のメモリ要素から2つ以上の出力値を出力するステップと、
    を備え、
    前記出力値は、前記中間値および前記試験値によって少なくとも部分的に決定され、出力値の数は、前記ファンアウトの数に等しい、方法。
  17. 請求項16に記載の方法であって、前記ファンアウトは、有効なファンアウトである、方法。
  18. 請求項16に記載の方法であって、前記ファンアウトの数は、奇数である、方法。
  19. 請求項18に記載の方法であって、前記ファンアウトの数は、3、5、または7である
    、方法。
  20. 請求項16に記載の方法であって、前記観測期間は、前記アンロード期間が終了する前に終了する、方法。
  21. 請求項16に記載の方法を実施するように構成されるコンパクタを備える集積回路。
  22. コンピュータシステムに、請求項16に記載の方法を実施するように構成されるコンパクタを設計させるコンピュータ実行可能命令を記憶するコンピュータ可読媒体。
  23. 請求項16に記載の方法を実施するように構成されるコンパクタの設計情報を含む設計データベースを記憶するコンピュータ可読媒体。
  24. 集積回路を試験する方法であって、
    試験中回路の走査チェーンにおいて、試験パターンに応答する回路に関連する複数の試験値を捕獲するステップと、
    前記試験値を前記走査チェーンからコンパクタにクロックするステップと、
    前記コンパクタにおいて2つ以上の出力値の複数の組を生成するステップであって、各組が、それぞれの試験値によって少なくとも部分的に決定される前記コンパクタにおいて生成されるすべての値を備える、ステップと、
    前記走査チェーンにおいて捕獲された前記試験値のすべてが、前記コンパクタにクロックされる前に、少なくとも2つのクロックサイクルにわたって、前記コンパクタから前記組の少なくとも1つを、出力するステップと、
    を備える方法。
  25. 請求項24に記載の方法であって、2つ以上の出力値の前記複数の組を生成するステップは、
    論理(708)により中間値を生成するステップと、
    前記中間値を複数のメモリ要素にロードするステップと、
    を備える、方法。
  26. 請求項25に記載の方法であって、前記複数のメモリ要素は、無フィードバック論理によって少なくとも部分的に結合される、方法。
  27. 請求項24に記載の方法であって、
    前記出力値に基づいて、前記走査チェーンにおいてエラー源を特定するステップを、
    さらに備える方法。
  28. 請求項24に記載の方法であって、
    2つ以上の出力値の前記組を使用して、前記試験値において故障を診断するステップを、
    さらに備える方法。
  29. 請求項24に記載の方法を実施するように構成されるコンパクタを備える集積回路。
  30. コンピュータシステムに、請求項24に記載の方法を実施するように構成されるコンパクタを設計させるコンピュータ実行可能命令を記憶するコンピュータ可読媒体。
  31. 請求項24に記載の方法を実施するように構成されるコンパクタの設計情報を含む設計データベースを記憶するコンピュータ可読媒体。
  32. 試験中回路の試験応答を圧縮する方法であって、
    試験応答の第1部分および前記試験応答の第2部分を、組合せ論理を備えるネットワークに注入するステップと、
    前記ネットワークにおいて、第1組の2つ以上の1次中間値を第1組のネットワーク出力に論理的に生成するステップであって、前記第1組の1次中間値は、前記試験応答の前記第1部分によって少なくとも部分的に決定される、ステップと、
    前記ネットワークにおいて、第2組の2つ以上の1次中間値をネットワーク出力の第2組に論理的に生成するステップであって、前記第2組の1次中間値は、前記試験応答の前記第2部分によって少なくとも部分的に決定され、前記第2組のネットワーク出力は、前記第1組のネットワーク出力と互いに排他的である少なくとも1つのネットワーク出力を有する、ステップと、
    第1組の2次中間値を生成するために、前記第1組の1次中間値を以前に記憶された値の第1組と少なくとも部分的に組み合わせるステップと、
    第2組の2次中間値を生成するために、前記第2組の1次中間値を以前に記憶された値の第2組と少なくとも部分的に組み合わせるステップと、
    前記第1組の2次中間値を、前記第1組のネットワーク出力に結合された第1組のメモリ要素にロードするステップと、
    前記第2組の2次中間値を、前記第2組のネットワーク出力に結合された第2組のメモリ要素であって、前記第1組のメモリ要素に対してシフトされていないメモリ要素の組を備える第2組のメモリ要素に、ロードするステップと、
    を備える方法。
  33. 請求項32に記載の方法であって、
    前記試験応答の前記第1部分は、第1試験値であり、前記試験応答の前記第2部分は、第2試験値であり、該方法は、
    前記第1試験値に関連付けられる第1組の出力値および前記第2試験値に関連付けられる第2組の出力値を生成するために、前記メモリ要素をクロックするステップを、
    さらに備え、
    前記第2組の出力値は、前記第1組の出力値から常に区別可能である、方法。
  34. 請求項33に記載の方法であって、前記第1組の出力値は、第1観測期間中に、前記メモリ要素からクロックアウトされ、前記第2組の出力値は、第2観測期間中に、前記メモリ要素からクロックアウトされ、前記第1観測期間は、前記第2観測期間とは異なる時間に終了する、方法。
  35. 請求項33に記載の方法であって、前記第1組の出力値は、第1観測期間中に、前記メモリ要素からクロックアウトされ、前記第2組の出力値は、第2観測期間中に、前記メモリ要素からクロックアウトされ、前記第1観測期間は、前記第2観測期間の前に開始され、かつ前記第2観測期間の後に終了する、方法。
  36. 請求項33に記載の方法であって、前記第1試験値および前記第2試験値は、エラー値である、方法。
  37. 請求項33に記載の方法であって、前記第1試験値および前記第2試験値の一方は、未知の値である、方法。
  38. 請求項32に記載の方法であって、前記メモリ要素の少なくともいくつかは、無フィードバック論理により直列に接続される、方法。
  39. 請求項32の前記方法を実施するように構成されるコンパクタを備える集積回路。
  40. コンピュータシステムに、請求項32に記載の方法を実施するように構成されるコンパクタを設計させるコンピュータ実行可能命令を記憶するコンピュータ読取り可能媒体。
  41. 請求項32に記載の方法を実施するように構成されるコンパクタの設計情報を含む設計データベースを記憶するコンピュータ読取り可能媒体。
  42. 集積回路の試験応答を圧縮する装置であって、該装置は、
    複数のメモリ要素と、
    組合せ論理を備える注入装置ネットワークであって、注入装置ネットワーク出力および注入装置ネットワーク入力を有する注入装置ネットワークと、
    を備え、
    各注入装置ネットワーク出力は、前記メモリ要素のそれぞれの1つに結合され、
    各注入装置ネットワーク入力は、それぞれの注入装置多項式に従って、2つ以上の注入装置ネットワーク出力に論理的に結合され、前記それぞれの注入装置多項式は、前記メモリ要素における1、2、および奇数のエラーマスキングを防止するように選択される、装置。
  43. 請求項42に記載の装置であって、前記メモリ要素の2つ以上は、無フィードバック論理により直列にさらに結合される、装置。
  44. 請求項43に記載の装置であって、前記無フィードバック論理は、前記2つ以上のメモリ要素からの直列シフト値を、前記注入装置ネットワーク出力からのそれぞれの値と組み合わせる、装置。
  45. 請求項42に記載の装置であって、前記2つ以上のメモリ要素は、単一クロックサイクルにおいて注入された試験値に関連付けられる一連の出力値を出力し、前記一連の出力値は、固定されたクロックサイクルの期間にわたって出力される、装置。
  46. 請求項42に記載の装置であって、前記複数のメモリ要素は、少なくとも1つのフィードバックループを含む論理により互いに直列に接続される、装置。
  47. 請求項42に記載の装置であって、前記複数のメモリ要素は、複数入力シグネチャレジスタ(MISR)を形成する、装置。
  48. 請求項47に記載の装置であって、前記注入装置ネットワークは、前記MISRにおいてMISRの消去を防止する、装置。
  49. 請求項42に記載の装置であって、
    前記注入装置ネットワーク入力と走査チェーン出力との間に結合されたバイパスネットワークを、
    さらに備える装置。
  50. 請求項42に記載の装置であって、該装置は、
    前記注入装置ネットワーク入力と走査チェーン出力との間に結合された選択装置回路を、
    さらに備え、
    前記選択装置回路は、前記走査チェーン出力の1つまたは複数をマスクするように動作可能である、装置。
  51. 請求項42に記載の装置であって、
    少なくとも1つの走査チェーンと前記注入装置ネットワーク入力の2つ以上との間に結合された少なくとも1つの直列入力並列出力レジスタを、
    さらに備える装置。
  52. 請求項42に記載の装置であって、
    前記注入装置ネットワーク入力の1つまたは複数に結合された複数の出力を有する少なくとも1つの走査チェーンを、
    さらに備える装置。
  53. 請求項42に記載の装置であって、
    前記複数のメモリ要素から出力された出力値を診断する手段を、
    さらに備える装置。
  54. コンピュータシステムに、請求項42に記載の装置を設計させるコンピュータ実行可能命令を記憶するコンピュータ可読媒体。
  55. 請求項42に記載の装置の設計情報を含む設計データベースを記憶するコンピュータ可読媒体。
  56. デジタル回路の試験応答を圧縮する装置であって、該装置は、
    複数のメモリ要素と、
    組合せ論理を備える注入装置ネットワークであって、注入装置ネットワーク出力および注入装置ネットワーク入力を有する注入装置ネットワークと、
    を備え、
    各注入装置ネットワーク出力は、前記メモリ要素のそれぞれの1つに結合され、
    各注入装置ネットワーク入力は、それぞれの注入装置多項式に従って、2つ以上の注入装置ネットワーク出力に論理的に結合され、前記それぞれの注入装置多項式は、前記メモリ要素において未知の値のマスキングを防止するように選択される、装置。
  57. 請求項56に記載の装置であって、前記それぞれの注入装置多項式はさらに、前記メモリ要素において、1、2、および奇数のエラーマスキングを防止するように構成される、装置。
  58. 請求項56に記載の装置であって、
    前記複数のメモリ要素から出力される出力値を診断する手段を、
    さらに備える装置。
  59. 集積回路(704)の試験応答を圧縮する装置であって、
    走査チェーン(702)の複数の走査セルの1つからの試験値を、前記走査チェーン(702)のアンロード期間中に受信する手段と、
    論理(708)により前記試験値によって少なくとも部分的に決定される少なくとも2つの中間値を生成する手段と、
    前記中間値の少なくとも一部を複数のメモリ要素(710)にロードする手段と、
    前記中間値によって少なくとも部分的に決定される1組の少なくとも2つの出力値を生成する手段であって、少なくとも2つの出力値の前記組(714)は、前記中間値によって少なくとも部分的に決定されるすべての出力値(714)を備える、手段と、
    観測期間にわたって、少なくとも2つの出力値の前記組(714)を出力する手段であって、前記観測期間は、少なくとも2つのクロックサイクルを備え、かつ前記アンロード期間が終了
    する前に終了する、手段と、
    を備える装置。
  60. 請求項59に記載の装置であって、
    前記試験値が、出力値の前記組を分析することによって予測される試験値であるかを決定する手段をさらに備える装置。
  61. 請求項59に記載の装置であって、
    出力値の前記組に基づいて、前記集積回路においてエラー源を特定する手段をさらに備える装置。
  62. 請求項59に記載の装置であって、
    前記走査チェーンの前記アンロード期間中に1つ以上の他の試験値をマスクする手段をさらに備える装置。
  63. 請求項59に記載の装置であって、
    前記走査チェーンと試験値を受信する前記手段との間に結合される少なくとも1つの直列入力並列出力レジスタ(1906)をさらに備える装置。
  64. 請求項59に記載の装置であって、
    走査チェーンの複数の走査セルの1つからの試験値を前記走査チェーンのアンロード期間中に受信する前記手段は、前記走査チェーンの出力に結合される注入装置ネットワーク入力を含み、
    論理により前記試験値によって少なくとも部分的に決定される少なくとも2つの中間値を生成する前記手段は注入装置ネットワーク(708)を含み、前記注入装置ネットワークは、前記注入装置ネットワーク入力、組合せ論理及び2つ以上の注入装置ネットワーク出力(712)を含み、前記注入装置ネットワーク入力は、それぞれの注入装置多項式に従って前記組合せ論理を介して前記注入装置ネットワーク出力(712)へ論理的に結合され、
    前記中間値によって少なくとも部分的に決定される1組の少なくとも2つの出力値を生成する前記手段は、複数のメモリ要素(710)を含み、
    前記中間値の少なくとも一部を前記複数のメモリ要素(710)にロードする前記手段は、前記メモリ要素の入力に結合される論理ゲートを含み、
    観測期間にわたって少なくとも2つの出力値の前記組を出力する前記手段は、前記メモリ要素が2つ以上のクロックサイクルにわたって前記試験値に関連付けられる出力値の組を出力するよう、連続的に結合される2つ以上の前記メモリ要素を含む装置。
  65. 請求項64に記載の装置であって、
    前記試験値は走査チェーンアンロード期間中に前記注入装置ネットワーク(708)に注入され、前記試験値に関連付けられる前記観測期間は前記アンロード期間が終了する前に終了する装置。
  66. 請求項64に記載の装置であって、
    前記それぞれの注入装置多項式は、前記メモリ要素(710)における1、2、および奇数のエラーマスキングを防止するように選択される装置。
  67. 請求項64に記載の装置であって、
    前記それぞれの注入装置多項式は前記メモリ要素(710)における未知の値のマスキングを防止するように選択される装置。
  68. 請求項64に記載の装置であって、
    前記2つ以上のメモリ要素(710)は無フィードバック論理によって連続的に結合される装置。
  69. 請求項68に記載の装置であって、
    前記無フィードバック論理は、前記2つ以上のメモリ要素(710)からの連続的にシフトされた値を前記注入装置ネットワーク出力(712)からのそれぞれの値と結合する装置。
  70. 請求項64に記載の装置であって、
    前記装置はコンパクションモード及びMISRモードで動作可能であり、前記メモリ要素(710)は前記コンパクションモード中に無フィードバック論理によって連続的に結合され、前記MISRモード中にフィードバックループによって複数入力シグネチャレジスタへと構成される装置。
  71. 請求項64に記載の装置であって、
    前記注入装置ネットワーク入力と走査チェーン出力との間に結合されるバイパスネットワークをさらに備える装置。
  72. 請求項64に記載の装置であって、
    前記注入装置ネットワーク入力と走査チェーン出力との間に結合される選択装置回路(1608)をさらに備え、前記選択装置回路(1608)は1つ以上の前記走査チェーン出力をマスクするよう動作可能である装置。
  73. 請求項64に記載の装置であって、
    少なくとも1つの走査チェーン(702)と2つ以上の前記注入装置ネットワーク入力との間に結合される少なくとも1つの直列入力並列出力レジスタ(1906)をさらに備える装置。
  74. 請求項64に記載の装置であって、
    1つ以上の前記注入装置ネットワーク入力に結合される複数の出力を有する少なくとも1つの走査チェーン(702)をさらに備える装置。
  75. 請求項64に記載の装置であって、
    前記複数のメモリ要素(710)から出力される出力値を診断する手段をさらに備える装置。
  76. 請求項59に記載の装置(706)を備える集積回路(700)。
  77. 請求項15に記載のコンピュータ可読媒体であって、
    前記更新された回路設計データがネットリストであるコンピュータ可読媒体。
JP2006503551A 2003-02-13 2004-02-13 コンパクタを使用する試験応答の圧縮 Expired - Lifetime JP4791954B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US44763703P 2003-02-13 2003-02-13
US60/447,637 2003-02-13
US50649903P 2003-09-26 2003-09-26
US60/506,499 2003-09-26
PCT/US2004/004271 WO2004072660A2 (en) 2003-02-13 2004-02-13 Compressing test responses using a compactor

Publications (3)

Publication Number Publication Date
JP2006518855A JP2006518855A (ja) 2006-08-17
JP2006518855A5 JP2006518855A5 (ja) 2007-02-08
JP4791954B2 true JP4791954B2 (ja) 2011-10-12

Family

ID=32872039

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006503551A Expired - Lifetime JP4791954B2 (ja) 2003-02-13 2004-02-13 コンパクタを使用する試験応答の圧縮

Country Status (6)

Country Link
US (3) US7370254B2 (ja)
EP (2) EP1595211B1 (ja)
JP (1) JP4791954B2 (ja)
AT (2) ATE400845T1 (ja)
DE (1) DE602004014904D1 (ja)
WO (1) WO2004072660A2 (ja)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7310757B2 (en) * 2001-10-11 2007-12-18 Altera Corporation Error detection on programmable logic resources
US7058869B2 (en) * 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
EP1595211B1 (en) * 2003-02-13 2008-07-09 Mentor Graphics Corporation Compressing test responses using a compactor
US7437640B2 (en) * 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
US7509550B2 (en) 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7302624B2 (en) * 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis
US8280687B2 (en) * 2004-03-31 2012-10-02 Mentor Graphics Corporation Direct fault diagnostics using per-pattern compactor signatures
US7729884B2 (en) * 2004-03-31 2010-06-01 Yu Huang Compactor independent direct diagnosis of test hardware
US7395473B2 (en) * 2004-12-10 2008-07-01 Wu-Tung Cheng Removing the effects of unknown test values from compacted test responses
US7260760B2 (en) * 2005-04-27 2007-08-21 International Business Machines Corporation Method and apparatus to disable compaction of test responses in deterministic test-set embedding-based BIST
US7272767B2 (en) * 2005-04-29 2007-09-18 Freescale Semiconductor, Inc. Methods and apparatus for incorporating IDDQ testing into logic BIST
DE502005004193D1 (de) * 2005-06-17 2008-07-03 Infineon Technologies Ag Schaltung zur Komprimierung und Speicherung von Schaltungsdiagnosedaten
US7451373B2 (en) * 2005-06-17 2008-11-11 Infineon Technologies Ag Circuit for compression and storage of circuit diagnosis data
DE102005046588B4 (de) * 2005-09-28 2016-09-22 Infineon Technologies Ag Vorrichtung und Verfahren zum Test und zur Diagnose digitaler Schaltungen
US8161338B2 (en) * 2005-10-14 2012-04-17 Mentor Graphics Corporation Modular compaction of test responses
JP5268656B2 (ja) * 2006-02-17 2013-08-21 メンター グラフィックス コーポレイション マルチステージ・テスト応答コンパクタ
US7840862B2 (en) * 2006-02-17 2010-11-23 Mentor Graphics Corporation Enhanced diagnosis with limited failure cycles
US20070266283A1 (en) * 2006-05-01 2007-11-15 Nec Laboratories America, Inc. Method and Apparatus for Testing an Integrated Circuit
US7779322B1 (en) 2006-09-14 2010-08-17 Syntest Technologies, Inc. Compacting test responses using X-driven compactor
US7788562B2 (en) * 2006-11-29 2010-08-31 Advantest Corporation Pattern controlled, full speed ATE compare capability for deterministic and non-deterministic IC data
WO2008126471A1 (ja) 2007-04-06 2008-10-23 Nec Corporation 半導体集積回路およびその試験方法
US7823034B2 (en) * 2007-04-13 2010-10-26 Synopsys, Inc. Pipeline of additional storage elements to shift input/output data of combinational scan compression circuit
JP2010539633A (ja) * 2007-09-18 2010-12-16 メンター グラフィックス コーポレイション メモリbist環境における故障診断
US7882409B2 (en) * 2007-09-21 2011-02-01 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors
US7949921B2 (en) * 2007-09-21 2011-05-24 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors
US7925947B1 (en) * 2008-01-14 2011-04-12 Syntest Technologies, Inc. X-canceling multiple-input signature register (MISR) for compacting output responses with unknowns
US7971176B2 (en) * 2008-03-18 2011-06-28 International Business Machines Corporation Method for testing integrated circuits
US8584073B2 (en) * 2008-07-21 2013-11-12 Synopsys, Inc. Test design optimizer for configurable scan architectures
US8112685B2 (en) 2009-06-11 2012-02-07 Texas Instruments Incorporated Serial compressed data I/O in a parallel test compression architecture
US8887018B2 (en) * 2010-06-11 2014-11-11 Texas Instruments Incorporated Masking circuit removing unknown bit from cell in scan chain
US8468404B1 (en) * 2010-06-25 2013-06-18 Cadence Design Systems, Inc. Method and system for reducing switching activity during scan-load operations
US8756468B2 (en) * 2011-04-28 2014-06-17 New York University Architecture, system, method, and computer-accessible medium for toggle-based masking
US9069989B2 (en) * 2012-01-27 2015-06-30 International Business Machines Corporation Chip authentication using scan chains
US20130326281A1 (en) * 2012-06-01 2013-12-05 Syntest Technologies, Inc. X-Tracer: A Reconfigurable X-Tolerance Trace Compressor for Silicon Debug
US10345369B2 (en) * 2012-10-02 2019-07-09 Synopsys, Inc. Augmented power-aware decompressor
US9081932B2 (en) 2013-02-01 2015-07-14 Qualcomm Incorporated System and method to design and test a yield sensitive circuit
US9329235B2 (en) 2013-03-13 2016-05-03 Synopsys, Inc. Localizing fault flop in circuit by using modified test pattern
US9411014B2 (en) 2013-03-22 2016-08-09 Synopsys, Inc. Reordering or removal of test patterns for detecting faults in integrated circuit
US9239897B2 (en) 2013-04-03 2016-01-19 Synopsys, Inc. Hierarchical testing architecture using core circuit with pseudo-interfaces
US9417287B2 (en) * 2013-04-17 2016-08-16 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US9588179B2 (en) 2013-06-12 2017-03-07 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US9009553B2 (en) * 2013-06-17 2015-04-14 Mentor Graphics Corporation Scan chain configuration for test-per-clock based on circuit topology
US10067187B2 (en) 2013-07-19 2018-09-04 Synopsys, Inc. Handling of undesirable distribution of unknown values in testing of circuit using automated test equipment
WO2015181389A2 (en) * 2014-05-29 2015-12-03 Universiteit Gent Integrated circuit verification using parameterized configuration
US9514844B2 (en) 2014-08-26 2016-12-06 Globalfoundries Inc. Fast auto shift of failing memory diagnostics data using pattern detection
US10215803B1 (en) 2014-10-15 2019-02-26 Santiago Remersaro Method and apparatus for concurrent inter-test response compaction and diagnosis
US9268892B1 (en) * 2014-12-19 2016-02-23 International Business Machines Corporation Identification of unknown sources for logic built-in self test in verification
US10380303B2 (en) 2015-11-30 2019-08-13 Synopsys, Inc. Power-aware dynamic encoding
US9891282B2 (en) * 2015-12-24 2018-02-13 Intel Corporation Chip fabric interconnect quality on silicon
US10578672B2 (en) * 2015-12-31 2020-03-03 Stmicroelectronics (Grenoble 2) Sas Method, device and article to test digital circuits
US10060978B2 (en) * 2016-06-21 2018-08-28 International Business Machines Corporation Implementing prioritized compressed failure defects for efficient scan diagnostics
US10509072B2 (en) * 2017-03-03 2019-12-17 Mentor Graphics Corporation Test application time reduction using capture-per-cycle test points
DE102017121308B4 (de) 2017-06-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Synchron-write-through-abtastprüfungsarchitekturen für einen speicherbaustein
US10705934B2 (en) * 2017-06-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Scan synchronous-write-through testing architectures for a memory device
US10962595B1 (en) 2017-12-04 2021-03-30 Synopsys, Inc. Efficient realization of coverage collection in emulation
JP6570608B2 (ja) * 2017-12-21 2019-09-04 キヤノン株式会社 検査装置、撮像装置、電子機器および輸送装置
US11681843B2 (en) * 2018-01-17 2023-06-20 Siemens Industry Software Inc. Input data compression for machine learning-based chain diagnosis
US10775432B2 (en) * 2018-05-30 2020-09-15 Seagate Technology Llc Programmable scan compression
US10761131B1 (en) * 2018-09-25 2020-09-01 Cadence Design Systems, Inc. Method for optimally connecting scan segments in two-dimensional compression chains
US10908213B1 (en) * 2018-09-28 2021-02-02 Synopsys, Inc. Reducing X-masking effect for linear time compactors
CN110991295B (zh) * 2019-11-26 2022-05-06 电子科技大学 一种基于一维卷积神经网络的自适应故障诊断方法
US11175338B2 (en) 2019-12-31 2021-11-16 Alibaba Group Holding Limited System and method for compacting test data in many-core processors
US11423202B2 (en) 2020-08-31 2022-08-23 Siemens Industry Software Inc. Suspect resolution for scan chain defect diagnosis

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4161041A (en) 1978-10-06 1979-07-10 The United States Of America As Represented By The Secretary Of The Air Force Pseudo random number generator apparatus
DE3009945A1 (de) 1979-03-15 1980-09-18 Nippon Electric Co Integrierter, logischer schaltkreis mit funktionspruefung
US4320509A (en) 1979-10-19 1982-03-16 Bell Telephone Laboratories, Incorporated LSI Circuit logic structure including data compression circuitry
US4513418A (en) 1982-11-08 1985-04-23 International Business Machines Corporation Simultaneous self-testing system
US4503537A (en) 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US4602210A (en) 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US4801870A (en) 1985-06-24 1989-01-31 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4687988A (en) 1985-06-24 1987-08-18 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4754215A (en) 1985-11-06 1988-06-28 Nec Corporation Self-diagnosable integrated circuit device capable of testing sequential circuit elements
JPS63286780A (ja) 1987-05-20 1988-11-24 Hitachi Ltd 故障検出方式および故障検出装置
JPH01239486A (ja) 1988-03-18 1989-09-25 Nec Corp 出力応答圧縮器
JP2591825B2 (ja) 1989-05-30 1997-03-19 富士通株式会社 圧縮データを用いた論理回路試験方法及びその装置
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
WO1991010182A1 (en) 1989-12-21 1991-07-11 Bell Communications Research, Inc. Generator of multiple uncorrelated noise sources
JPH03214809A (ja) 1990-01-19 1991-09-20 Nec Corp リニアフィードバック・シフトレジスタ
US5091908A (en) * 1990-02-06 1992-02-25 At&T Bell Laboratories Built-in self-test technique for read-only memories
US5138619A (en) 1990-02-15 1992-08-11 National Semiconductor Corporation Built-in self test for integrated circuit memory
US5167034A (en) 1990-06-18 1992-11-24 International Business Machines Corporation Data integrity for compaction devices
US5173906A (en) 1990-08-31 1992-12-22 Dreibelbis Jeffrey H Built-in self test for integrated circuits
US5258986A (en) 1990-09-19 1993-11-02 Vlsi Technology, Inc. Tightly coupled, low overhead RAM built-in self-test logic with particular applications for embedded memories
US5369648A (en) 1991-11-08 1994-11-29 Ncr Corporation Built-in self-test circuit
JPH05215816A (ja) 1991-12-06 1993-08-27 Nec Corp 情報処理装置
EP0549949B1 (en) 1991-12-16 1998-03-11 Nippon Telegraph And Telephone Corporation Built-in self test circuit
JP2711492B2 (ja) 1992-03-05 1998-02-10 日本電信電話株式会社 組込み自己試験回路
US5412665A (en) 1992-01-10 1995-05-02 International Business Machines Corporation Parallel operation linear feedback shift register
US5436653A (en) * 1992-04-30 1995-07-25 The Arbitron Company Method and system for recognition of broadcast segments
US5608870A (en) 1992-11-06 1997-03-04 The President And Fellows Of Harvard College System for combining a plurality of requests referencing a common target address into a single combined request having a single reference to the target address
US5450414A (en) 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5533035A (en) * 1993-06-16 1996-07-02 Hal Computer Systems, Inc. Error detection and correction method and apparatus
US5416783A (en) 1993-08-09 1995-05-16 Motorola, Inc. Method and apparatus for generating pseudorandom numbers or for performing data compression in a data processor
US5848198A (en) 1993-10-08 1998-12-08 Penn; Alan Irvin Method of and apparatus for analyzing images and deriving binary image representations
JP2882743B2 (ja) 1993-12-21 1999-04-12 川崎製鉄株式会社 半導体集積回路装置
US5631913A (en) 1994-02-09 1997-05-20 Matsushita Electric Industrial Co., Ltd. Test circuit and test method of integrated semiconductor device
JP3403814B2 (ja) 1994-07-04 2003-05-06 富士通株式会社 自己試験機能組込み型回路
US5642362A (en) 1994-07-20 1997-06-24 International Business Machines Corporation Scan-based delay tests having enhanced test vector pattern generation
US5533128A (en) 1995-01-18 1996-07-02 Vobach; Arnold Pseudo-random transposition cipher system and method
US5574733A (en) 1995-07-25 1996-11-12 Intel Corporation Scan-based built-in self test (BIST) with automatic reseeding of pattern generator
US5831992A (en) * 1995-08-17 1998-11-03 Northern Telecom Limited Methods and apparatus for fault diagnosis in self-testable systems
US5680543A (en) 1995-10-20 1997-10-21 Lucent Technologies Inc. Method and apparatus for built-in self-test with multiple clock circuits
US5867507A (en) 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
DE69613560T2 (de) 1996-04-30 2002-03-14 Agilent Technologies Inc Ein Prüfgerät für elektronische Schaltkreise oder Platinen mit komprimierten Datenfolgen
US5790562A (en) 1996-05-06 1998-08-04 General Motors Corporation Circuit with built-in test and method thereof
US5717701A (en) 1996-08-13 1998-02-10 International Business Machines Corporation Apparatus and method for testing interconnections between semiconductor devices
US5991909A (en) 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
KR100206128B1 (ko) 1996-10-21 1999-07-01 윤종용 선형 궤환 쉬프트레지스터, 다중 입력기호 레지스터 및 이들을 이용한 내장 자기 진단회로
US5694402A (en) 1996-10-22 1997-12-02 Texas Instruments Incorporated System and method for structurally testing integrated circuit devices
US5701308A (en) 1996-10-29 1997-12-23 Lockheed Martin Corporation Fast bist architecture with flexible standard interface
US5905986A (en) 1997-01-07 1999-05-18 Hewlett-Packard Company Highly compressible representation of test pattern data
US5991898A (en) 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
US6026508A (en) 1997-04-22 2000-02-15 International Business Machines Corporation Storage sub-system compression and dataflow chip offering excellent data integrity
JPH1130646A (ja) 1997-07-10 1999-02-02 Nec Eng Ltd 半導体集積回路及びそれに含まれるテスト回路
US5883906A (en) 1997-08-15 1999-03-16 Advantest Corp. Pattern data compression and decompression for semiconductor test system
DE59813158D1 (de) 1997-09-18 2005-12-08 Infineon Technologies Ag Verfahren zum Testen einer elektronischen Schaltung
US6272653B1 (en) * 1997-11-14 2001-08-07 Intrinsity, Inc. Method and apparatus for built-in self-test of logic circuitry
JP3047883B2 (ja) 1998-03-17 2000-06-05 日本電気株式会社 テストモードを有する半導体装置の出力回路
JP3257528B2 (ja) * 1998-12-28 2002-02-18 日本電気株式会社 テストパタン生成方法および装置ならびにテストパタン生成プログラムを記録した記録媒体
GB9900432D0 (en) 1999-01-08 1999-02-24 Xilinx Inc Linear feedback shift register in a progammable gate array
US6467058B1 (en) * 1999-01-20 2002-10-15 Nec Usa, Inc. Segmented compaction with pruning and critical fault elimination
US6590929B1 (en) 1999-06-08 2003-07-08 International Business Machines Corporation Method and system for run-time logic verification of operations in digital systems
US6463561B1 (en) * 1999-09-29 2002-10-08 Agere Systems Guardian Corp. Almost full-scan BIST method and system having higher fault coverage and shorter test application time
US6557129B1 (en) 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US6353842B1 (en) 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US6684358B1 (en) 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
EP1242885B1 (en) * 1999-11-23 2009-10-07 Mentor Graphics Corporation Continuous application and decompression of test patterns to a circuit-under-test
US6874109B1 (en) 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US6327687B1 (en) 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
EP1146343B1 (en) 2000-03-09 2005-02-23 Texas Instruments Incorporated Adapting Scan-BIST architectures for low power operation
US6300885B1 (en) 2000-04-14 2001-10-09 International Business Machines Corporation Dual aldc decompressors inside printer asic
US6668347B1 (en) * 2000-05-08 2003-12-23 Intel Corporation Built-in self-testing for embedded memory
JP4228061B2 (ja) 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
US6782501B2 (en) * 2001-01-23 2004-08-24 Cadence Design Systems, Inc. System for reducing test data volume in the testing of logic products
US6950974B1 (en) 2001-09-07 2005-09-27 Synopsys Inc. Efficient compression and application of deterministic patterns in a logic BIST architecture
US7552373B2 (en) * 2002-01-16 2009-06-23 Syntest Technologies, Inc. Method and apparatus for broadcasting scan patterns in a scan-based integrated circuit
US6807646B1 (en) * 2002-03-04 2004-10-19 Synopsys, Inc. System and method for time slicing deterministic patterns for reseeding in logic built-in self-test
US7185253B2 (en) 2002-03-27 2007-02-27 Intel Corporation Compacting circuit responses
US6671839B1 (en) 2002-06-27 2003-12-30 Logicvision, Inc. Scan test method for providing real time identification of failing test patterns and test bist controller for use therewith
US7058869B2 (en) 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
US7302624B2 (en) * 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7437640B2 (en) 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
EP1595211B1 (en) * 2003-02-13 2008-07-09 Mentor Graphics Corporation Compressing test responses using a compactor
US7509550B2 (en) 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7032148B2 (en) 2003-07-07 2006-04-18 Syntest Technologies, Inc. Mask network design for scan-based integrated circuits
US7574640B2 (en) 2003-09-05 2009-08-11 Intel Corporation Compacting circuit responses

Also Published As

Publication number Publication date
ATE532133T1 (de) 2011-11-15
US7890827B2 (en) 2011-02-15
US7370254B2 (en) 2008-05-06
EP1595211A4 (en) 2005-11-30
US20080133987A1 (en) 2008-06-05
WO2004072660A2 (en) 2004-08-26
EP1595211B1 (en) 2008-07-09
EP1595211A2 (en) 2005-11-16
US20040230884A1 (en) 2004-11-18
JP2006518855A (ja) 2006-08-17
US20100257417A1 (en) 2010-10-07
ATE400845T1 (de) 2008-07-15
EP1978446B1 (en) 2011-11-02
WO2004072660A3 (en) 2005-04-28
DE602004014904D1 (de) 2008-08-21
US7743302B2 (en) 2010-06-22
EP1978446A1 (en) 2008-10-08

Similar Documents

Publication Publication Date Title
JP4791954B2 (ja) コンパクタを使用する試験応答の圧縮
US7729884B2 (en) Compactor independent direct diagnosis of test hardware
JP5554365B2 (ja) マルチステージ・テスト応答コンパクタ
US8301414B2 (en) Compactor independent fault diagnosis
US8280687B2 (en) Direct fault diagnostics using per-pattern compactor signatures
US8812922B2 (en) Speeding up defect diagnosis techniques
US11073556B2 (en) Low pin count reversible scan architecture
US10509072B2 (en) Test application time reduction using capture-per-cycle test points
US11555854B2 (en) Deterministic stellar built-in self test
CN114667455A (zh) 用于测试电路的通用压缩器架构
WO2009105788A2 (en) Improving the performance of signature based diagnosis for logic bist
US11408938B2 (en) Bidirectional scan cells for single-path reversible scan chains
WO2023107096A1 (en) X-masking for in-system deterministic test

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061214

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100330

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100629

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100706

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100827

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100928

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110623

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110722

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140729

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4791954

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term