JP4693526B2 - 半導体集積回路、および、半導体集積回路のテスト方法 - Google Patents

半導体集積回路、および、半導体集積回路のテスト方法 Download PDF

Info

Publication number
JP4693526B2
JP4693526B2 JP2005197888A JP2005197888A JP4693526B2 JP 4693526 B2 JP4693526 B2 JP 4693526B2 JP 2005197888 A JP2005197888 A JP 2005197888A JP 2005197888 A JP2005197888 A JP 2005197888A JP 4693526 B2 JP4693526 B2 JP 4693526B2
Authority
JP
Japan
Prior art keywords
memory
test
circuit
test pattern
logic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005197888A
Other languages
English (en)
Other versions
JP2007017236A (ja
Inventor
浩司 浦田
泰智 小野崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2005197888A priority Critical patent/JP4693526B2/ja
Priority to US11/480,958 priority patent/US7484154B2/en
Publication of JP2007017236A publication Critical patent/JP2007017236A/ja
Application granted granted Critical
Publication of JP4693526B2 publication Critical patent/JP4693526B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318552Clock circuits details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Description

本発明は、ランダムアクセスメモリ(RAM)とシステムロジック回路を搭載する半導体集積回路、および、その半導体集積回路のテスト方法に関する。
従来、スキャンチェーンによるスキャンデザインで、半導体集積回路に搭載されたシステムロジック回路のテストをする場合、半導体集積回路に搭載されたRAMの周辺にバイパス論理部を付加し、システムロジック回路のテスト中は信号がRAMをバイパスするようにしている。これにより、システムロジック回路の故障検出率の向上とテストパタン数の削減を実現することができる。RAM自体は専用のメモリ組み込み自己試験(BIST)回路を付加することにより、システムロジック回路とは独立にテストするのが一般的である。しかし、AC不良をテストするアットスピード(at-speed)テストにおいては、システムロジック回路のテスト中に信号がRAMをバイパスするようなテスト構成では問題があった。
システムロジック回路のat-speedテストにはACスキャンテスト、例えば、トランジション(Transition)テストやパス(Path)テストが使用される。また、RAMのat-speedテストにはメモリBIST回路によるat-speedメモリBISTテストが適用される。しかし、ACスキャンテストとat-speedメモリBISTテストとではメモリ周辺論理回路のat-speedテストを行うことができない。システムロジック回路のテストのために付加されるバイパス論理部は、もともとat-speed動作を行うことが難しく、タイミングフォールスパス(False Path)として扱われる。タイミングFalse PathはACスキャンテストのテストパタン生成時にテスト対象から除外されてしまうので、RAMの周辺論理部のat-speedテストが実施できないことになる。
RAMの周辺の論理部のat-speedテストを実施する方法は、システムロジック回路のACスキャンテストのテストパタンを生成する際に、バイパス論理部を通らずRAMへアクセスする本来のパスを用いることである(例えば、特許文献1参照。)。この際には、システムロジック回路のテストパタンを生成をする自動テストパタン生成(ATPG:Automatic Test Pattern Generation)装置が、RAMの動作を理解してテストパタンを生成できなければならない。つまり、ATPG用のRAMのメモリ動作モデルが必要である。しかしながら、メモリ動作モデルを使ったATPGは、単純な組み合わせ論理回路用の組み合わせATPGとは異なり、順序回路を扱えるシーケンシャルなアルゴリズムを使ったATPGである必要がある。一般的に、メモリ動作モデルを使ったシーケンシャルなアルゴリズムを有するATPGは、組み合わせATPGと比べて実行時間が長く、生成されるテストパタン数も非常に長くなる。これはメモリ周辺論理とメモリ動作モデルを組み合わせた論理が非常に複雑になることが原因である。
特開2004−334933号公報
本発明は、RAMの周辺のシステムロジック回路のat-speedテストが実施可能な半導体集積回路を提供する。
本発明は、RAMの周辺のシステムロジック回路のat-speedテストが実施可能な半導体集積回路のテスト方法を提供する。
本願発明の一態様によれば、ランダムアクセスメモリと、ランダムアクセスメモリの故障テストの合格の後に、メモリ用テストパタンを前記ランダムアクセスメモリに書き込むメモリBIST回路と、メモリ用テストパタンは書き換えられずに読み出されるという条件で自動パタン生成により生成されたロジック用テストパタンのシフトインを行うスキャンチェーンと、スキャンチェーンとでシステムロジック回路を構成可能な組み合わせ論理回路を有し、ロジック用テストパタンに起因し前記組み合わせ論理回路を経由した読み出し命令信号により、ランダムアクセスメモリはメモリ用テストパタンから読み出した読み出しデータ信号を出力し、読み出しデータ信号に起因し組み合わせ論理回路を経由したテスト結果がスキャンチェーンに入力し、スキャンチェーンがテスト結果のシフトアウトを行うことを特徴とする半導体集積回路が提供される。
本願発明の一態様によれば、ランダムアクセスメモリに書き込まれたメモリ用テストパタンは書き換えられずに読み出されるという条件で自動パタン生成によりロジック用テストパタンを生成し、メモリBIST回路がランダムアクセスメモリの故障テストを行い、故障テストの合格の後に、メモリBIST回路が前記メモリ用テストパタンを前記ランダムアクセスメモリに書き込み、組み合わせ論理回路とでシステムロジック回路を構成可能なスキャンチェーンがロジック用テストパタンのシフトインを行い、ロジック用テストパタンに起因し組み合わせ論理回路を経由した読み出し命令信号により、ランダムアクセスメモリは前記メモリ用テストパタンから読み出した読み出しデータ信号を出力し、読み出しデータ信号に起因し組み合わせ論理回路を経由したテスト結果がスキャンチェーンに入力し、スキャンチェーンがテスト結果のシフトアウトを行うことを特徴とする半導体集積回路のテスト方法が提供される。
本願発明の一態様によれば、ランダムアクセスメモリの複数の入力に接続するメモリBIST回路が前記ランダムアクセスメモリの故障テストを行い、入力の一部をメモリBIST回路に接続し、他の入力をシステム論理に接続するという条件で自動パタン生成によりロジック用テストパタンを生成し、故障テストの合格の後に、メモリBIST回路内のスキャンチェーンを用いてテストパタンをランダムアクセスメモリに書き込み、組み合わせ論理回路とでシステムロジック回路を構成可能なスキャンチェーンがロジック用テストパタンのシフトインを行い、ロジック用テストパタンに起因し組み合わせ論理回路を経由した読み出し命令信号により、ランダムアクセスメモリはメモリ用テストパタンに基づいて読み出しデータ信号を出力し、読み出しデータ信号に起因し組み合わせ論理回路を経由したテスト結果がスキャンチェーンに入力し、スキャンチェーンがテスト結果のシフトアウトを行うことを特徴とする半導体集積回路のテスト方法が提供される。
本発明の一態様に係る半導体集積回路およびそのテスト方法によれば、RAMの周辺のシステムロジック回路のat-speedテストが実施可能な半導体集積回路を提供できる。また、RAMの周辺のシステムロジック回路のat-speedテストが実施可能な半導体集積回路のテスト方法を提供できる。
次に、図面を参照して、本発明の実施の形態について説明する。なお、以下では本発明の実施形態を図面に基づいて説明するが、図解のためだけであり、本発明はそれらの図面に限定されるものではない。以下の図面の記載において、同一又は類似の部分には同一又は類似の符号を付している。また、図面は模式的なものであり、現実のものとは異なることに留意すべきである。
図1に示すように、実施例1に係る半導体集積回路1は、システムロジック回路2、ランダムアクセスメモリ(RAM)3、メモリBIST回路4、ロジックBIST回路5、中央演算装置CPU、フェイズロックトループ(PLL)回路を有している。メモリBIST回路4は、RAM3のat-speedでの故障テストを実施することができる。ロジックBIST回路5は、ロジックBISTモードでは、システムロジック回路2のat-speedでの故障テストを実施することができる。システムロジック回路2は、通常動作モードでは、予め設計された機能で動作する。システムロジック回路2は、スキャンテストモードでは、メモリ周辺組み合わせ論理回路6、組み合わせ論理回路7乃至9とスキャンチェーン(F/F)11、12で動作する。
スキャンチェーン11は、チェーン状に接続されたフリップフロップF/F1乃至F/F7を有している。フリップフロップF/F1は、パッドSCAN OUT1に接続し、フリップフロップF/F7は、パッドSCAN IN1に接続する。スキャンチェーン12は、チェーン状に接続されたフリップフロップF/F8乃至F/F13を有している。フリップフロップF/F8は、パッドSCAN OUT2に接続し、フリップフロップF/F13は、パッドSCAN IN2に接続する。
スキャンテストモードでは、パッドSCAN IN1とSCAN IN2からテストパタンがスキャンチェーン11、12にスキャンインされる。テストパタンが、フリップフロップF/F1乃至F/F13に記憶される。フリップフロップF/F1乃至F/F13は、記憶しているテストパタンをメモリ周辺組み合わせ論理回路6と組み合わせ論理回路7乃至9に出力する。具体的には、フリップフロップF/F11乃至F/F13は、テストパタンを組み合わせ論理回路9に出力し、組み合わせ論理回路9から出力されるテスト結果をフリップフロップF/F8乃至F/F10が入力して記憶する。同様に、フリップフロップF/F8乃至F/F10は、テストパタンを組み合わせ論理回路8に出力し、組み合わせ論理回路8から出力されるテスト結果をフリップフロップF/F5乃至F/F7が入力して記憶する。フリップフロップF/F5乃至F/F7は、テストパタンを組み合わせ論理回路7に出力し、組み合わせ論理回路7から出力されるテスト結果をフリップフロップF/F1乃至F/F4が入力して記憶する。最後に、フリップフロップF/F1乃至F/F4は、テストパタンをメモリ周辺組み合わせ論理回路6に出力する。メモリ周辺組み合わせ論理回路6はRAMと接続している。入力したテストパタンに起因して、メモリ周辺組み合わせ論理回路6はRAM3に対する読み出し命令信号d1を出力する。RAM3は、読み出し命令信号d1に基づいて、後述する予め記憶しておいた読み出しデータ信号d2を出力する。メモリ周辺組み合わせ論理回路6は、読み出しデータ信号d2に起因したテスト結果をフリップフロップF/F1乃至F/F4に入力させて記憶させる。最後にまとめて、フリップフロップF/F1乃至F/F13から構成されるスキャンチェーン11、12が、パッドSCAN OUT1とSCAN OUT2からテスト結果のシフトアウトを行う。
図2を用いて、半導体集積回路1のRAM3周りをさらに詳細に説明する。スキャンチェーン11のフリップフロップF/F1乃至F/F4には、ロジッククロック(CLK)とスキャンイネーブルSCAN EN1が接続されている。フリップフロップF/F1乃至F/F4は、メモリ周辺組み合わせ論理回路6に接続し、メモリ周辺組み合わせ論理回路6は、セレクタ論理回路21から22の入力端に接続する。
メモリBIST回路4は、スキャンチェーン14とAND回路AND1を有する。また、メモリBIST回路4は、RAM3に1回分のデータを書き込んだ後にライトフィニッシュ信号Write Finish信号を発生させる。AND回路AND1の入力端には、ライトフィニッシュ信号Write Finish信号とメモリBISTクロックmBIST CLKが入力される。AND回路AND1の出力端は、セレクタ論理回路23に入力端に接続される。このことにより、ライトフィニッシュ信号Write Finishがハイレベルのときのみ、すなわち、RAM3に1回分のデータを書き込んでいる最中のみ、メモリBISTクロックmBIST CLKをAND回路AND1は出力することができる。
セレクタ論理回路23の他方の入力端は、メモリ周辺組み合わせ論理回路6を介して、ロジックCLKに接続される。セレクタ論理回路23の出力端はRAM3のメモリCLKに接続される。セレクタ論理回路23によれば、BISTイネーブル信号BIST ENに応じて、メモリCLKとして、ロジックCLKかメモリBISTクロックmBIST CLKを選択することができる。
スキャンチェーン14は、チェーン状に接続されたフリップフロップF/F14からF/F15を有している。スキャンチェーン14のフリップフロップF/F14からF/F15には、メモリBISTクロックmBIST CLKとスキャンイネーブルSCAN EN2が接続されている。フリップフロップF/F14からF/F15は、セレクタ論理回路21から22の入力端に接続する。セレクタ論理回路21から22の出力端はRAM3に接続される。セレクタ論理回路21から22によれば、BISTイネーブル信号BIST ENに応じて、RAM3の入力端をメモリ周辺組み合わせ論理回路6と接続するのか、RAM3の入力端をメモリBIST回路4と接続するのかの選択をすることができる。
RAM3の出力端はメモリBIST回路4に接続する。以上により、メモリBIST回路4は、RAM3のBISTが可能になる。RAM3のBISTの際には、セレクタ論理回路21から22と23においてメモリBIST回路4に接続する端子が選択される。
RAM3の出力端はセレクタ論理回路24から25の入力端に接続する。また、セレクタ論理回路24から25の他の入力端は、セレクタ論理回路21から22の出力端に接続する。以上により、メモリ周辺組み合わせ論理回路6のテスト中に信号がRAMをバイパスするようなテスト構成の低い周波数でのテストが可能になる。RAMをバイパスするようなテストの際には、セレクタ論理回路24から25において、バイパスイネーブルBypass ENに応じて、RAM3をバイパスする経路d3が接続する端子が選択される。RAMをバイパスするようなテストによれば、メモリ周辺組み合わせ論理回路6における縮退故障を検出することができる。
セレクタ論理回路24から25の出力端はメモリ周辺組み合わせ論理回路6に接続する。メモリ周辺組み合わせ論理回路6は、スキャンチェーン11のフリップフロップF/F1からF/F2に接続する。
図3に示すように、実施例1に係る半導体集積回路1において、システムロジック回路2が有するスキャンチェーン11乃至13と、メモリBIST回路4が有するスキャンチェーン14を表記することができる。すなわち、スキャンチェーン11乃至13へのテストパタンのシフトインと、メモリBIST回路4のスキャンチェーン14からRAM3への書き込みを同時に並行して行うために、メモリBIST回路4内部のスキャンチェーン14を他のシステムロジック回路2が有するスキャンチェーン11乃至13から独立させている。すなわち、スキャンチェーン11乃至14は、それぞれ、個別にスキャンイン端子SCAN IN1乃至SCAN INn、mSCAN INを有している。スキャンチェーン11乃至14は、それぞれ、個別にスキャンアウト端子SCAN OUT1乃至SCAN OUTn、mSCAN OUTを有している。また、スキャンイネーブルSCAN_EN1だけでなく、スキャンイネーブルSCAN_EN2 を半導体集積回路1の直接接続可能な外部ピンにすることで、メモリBIST回路4内部のスキャンチェーン14のモード切り替えを可能にかつ容易にしている。ここで、スキャンチェーン14のモードとは、メモリBIST回路4自体の故障をテストするためのモードや、RAM3の故障をテストするためのモード等のことである。
次に、実施例1に係る半導体集積回路のテスト方法について説明する。半導体集積回路のテスト方法は、実施例1の半導体集積回路において実施される。
実施例1の半導体集積回路のテスト方法では、あらかじめ、自動テストパタン生成ATPGにより、システムロジック回路2内のスキャンチェーン11乃至13にスキャンシフトインさせるロジック用テストパタンを生成しておく。ATPGとしては、メモリ動作モデルを使ったシーケンシャルなアルゴリズムを有するATPGを適用する必要はなく、組み合わせATPGを適用する。このために、RAM3に書き込むデータをメモリBIST回路4内で生成するために、メモリBIST回路4内のスキャンチェーン14にスキャンシフトインさせるメモリ用テストパタン設定データとして事前に設定しておく。そして、RAM3が書き込み動作でなく読み出し動作条件に設定された状態で、ATPGによりロジック用テストパタンを生成する。
メモリ動作モデルを使ったシーケンシャルなアルゴリズムを有するATPGでは、図5に示すようなRAMモデルを使用することになる。実施例1の半導体集積回路のテスト方法では、組み合わせATPGにおいて、図6に示すようなリードオンリーメモリ(ROM)モデルを使用する。実施例1では、メモリBIST回路4がRAM3に所望のデータを書き込んだ後、ATPG実行時にはRAM3をROMと見なし、ROMモデルを用いたテストパタン生成を行う。
図5のRAMモデルは、RAMのメモリの構成の領域31、入出力ピンと内部回路の記述に関する領域32、書き込みWrite動作に関する領域33、読み出しRead動作に関する領域34、RAMのトップモジュールの記述に関する領域35を有している。RAMのメモリ構成の領域31では、ワードラインの本数やビットラインの本数のようなメモリサイズの宣言が行われる。入出力ピンと内部回路の記述に関する領域32では、テストに必要な入出力ピンと内部回路の設定が行われる。書き込みWrite動作に関する領域33では、書き込みモードの設定方法、書き込むメモリセルの指定方法や、入力データの指定されたメモリセルへの書き込み方法等の設定が行われる。読み出しRead動作に関する領域34では、読み出しモードの設定方法、読み出すメモリセルの指定方法や、指定されたメモリセルからの読み出し方法等の設定が行われる。RAMのトップモジュールの記述に関する領域35では、RAMの入出力ピンとRAMと接続する他の回路の入出力ピンとの接続関係の設定が行われる。
図6のROMモデルは、ROMと見なされたRAMのメモリの構成の領域41、入出力ピンと内部回路の記述に関する領域42、ROMと見なされたRAMに書き込むデータファイルの領域43、読み出しRead動作に関する領域44、ROMと見なされたRAMのトップモジュールの記述に関する領域45を有している。ROMと見なされたRAMのメモリ構成の領域41では、図5のRAMのメモリ構成の領域41と同様に、ワードラインの本数やビットラインの本数のようなメモリサイズの宣言が行われる。入出力ピンと内部回路の記述に関する領域42では、図5の入出力ピンと内部回路の記述に関する領域32と同様に、テストに必要な入出力ピンと内部回路の設定が行われる。ROMと見なされたRAMに読み込むデータファイルの領域43では、ROMに記憶されているデータの設定が行われる。読み出しRead動作に関する領域44では、図5の読み出しRead動作に関する領域34と同様に、読み出しモードの設定方法、読み出すメモリセルの指定方法や、指定されたメモリセルからの読み出し方法等の設定が行われる。ROMと見なされたRAMのトップモジュールの記述に関する領域45では、図5のRAMのトップモジュールの記述に関する領域35と同様に、RAMの入出力ピンとRAMと接続する他の回路の入出力ピンとの接続関係の設定が行われる。
図6のROMモデルを図5のRAMモデルと比較すると、ROMモデルではメモリ構成41と入出力ピンの定義42の後に、読み出し動作44が記述されるだけで、書き込み動作33の記述はなく、事前に書き込まれたデータを別ファイル43で持つことになる。RAMモデルの場合は、書き込みと読み出しの両方の動作をATPGが考慮してテストパタンを作成するのに対して、ROMモデルの場合は読み出し動作だけなので、ATPGによるテストパタンの生成が容易になる。
図4のステップS1で、メモリBIST回路4がRAM3の故障テストを行う。セレクタ論理回路21から22と23において、メモリBIST回路側を選択する。メモリBIST回路4はテストパタンd4を発生させ、RAM3に入力され書き込まれる。RAM3から読み出されたテスト結果d5により、RAM3が故障しているか否かメモリBIST回路4が判定する。RAM3が故障していると判定されれば、オペレータは、故障しているRAM3を有する半導体集積回路1も故障していると判定し、半導体集積回路1のテスト方法をストップする。RAM3が故障していないと判定され、故障テストに合格すれば、ステップS2に進む。
なお、ステップS2に先立って、図1と図2のバイパス経路d3を用いたRAM3をバイパスするようなテスト構成の低い周波数でのテストを行っても良い。RAM3をバイパスするようなテストの際には、セレクタ論理回路24から25において、バイパスイネーブルBypass ENに応じて、RAM3をバイパスする経路d3が接続する端子が選択される。RAM3をバイパスするようなテストによれば、メモリ周辺組み合わせ論理回路6とその周辺回路における縮退故障を検出することができる。
そして、図4のステップS2で、遅延テストの設定を行う。なお、遅延テストは、RAM3をバイパスすることなく経由して、at-speedテストで行われる。図7に示すように、タイミングT0において、スキャンイネーブルSCAN_EN1をシフトイン可能なスキャンモードであるハイレベル1に設定し、ロジックCLKから単発クロックを入力し、スキャンチェーン11から13にロジック用テストパタンをシフトインする。このシフトインと同時にあるいは並行して、スキャンイネーブルSCAN_EN2 を、メモリBIST回路4内部のスキャンチェーン14を書き込み可能なシステムモードであるローレベル0に設定し、mBIST_CLKから単発クロックを入力し、メモリBIST回路4からRAM3の全アドレスのメモリセルに所望のデータとなるメモリ用テストパタンを書き込む。タイミングT1で、メモリBIST回路4のRAM3の全アドレスへの書き込み動作が終了すると、ライトフィニッシュWrite_Finishのハイレベル1からロウレベル0への論理変化が起こる。この論理変化により、メモリBISTクロックmBIST_CLKは、RAM3のメモリCLKへ伝播されずに、メモリCLKはロウレベル0に固定される。RAM3に書き込まれたメモリ用テストパタンが全アドレスにおいて記憶され保持される。RAM3の書き込み動作が終了したタイミングT1の次のタイミングT2において、BISTイネーブルBIST ENがハイレベル1からロウレベル0に切り替わり、セレクタ論理回路21から22と23において、メモリBIST回路4側への接続からの選択が、メモリ周辺組み合わせ論理回路6側への接続への選択に切り替わる。
次に、図4のステップS3で、遅延テストを実行する。タイミングT3で、スキャンチェーン11乃至13へのロジック用テストパタンのシフトイン動作が終了すると、スキャンイネーブルSCAN_EN1がシフトインを停止するロウレベル0になる。この論理変化により、遅延テストがスタートする。ロジックCLKに3発のクロックが入力される。この3発のクロックの内、第1発目のクロックにより、スキャンチェーン11乃至13からロジック用テストパタンが組み合わせ論理回路6乃至9に出力される。ロジック用テストパタンは、組み合わせ論理回路6とセレクタ論理回路21から22を経由し、RAM3に対する読み出し命令信号としてRAM3に入力される。
第2発目のクロックにより、RAM3は、入力した読み出し命令信号にしたがい、RAM3に記憶されたメモリ用テストパタンの中から該当するデータを読み出しデータ信号として出力する。このように、第2発目のクロックでは、RAM3は、データが書き込まれることはなく、データを読み出すのみであり、ROMとして機能しているとみなせる。第3発目のクロックにより、読み出しデータ信号がセレクタ論理回路24から25と組み合わせ論理回路6を伝搬し、読み出しデータ信号に起因し、セレクタ論理回路24から25と組み合わせ論理回路6を経由したテスト結果がスキャンチェーン11に取り込まれ、記憶される。以上で遅延テストの実行は終了し、第3発目のクロックのタイミングの次のタイミングT4で、スキャンイネーブルSCAN_EN1がシフトアウト可能なハイレベル1になる。この論理変化により、図4のステップS5がスタートする。
ステップS4で、スキャンチェーン11から13は、ロジックCLKから単発クロックを入力し、スキャンチェーン11から13に記憶されたテスト結果をスキャンシフトアウトする。テスト結果がすべてスキャンシフトアウトされるまでロジックCLKはスキャンチェーン11から13に入力され、シフトアウトされたテスト結果は、半導体集積回路1の外部装置のテスタに出力され、テスタによってテスト結果の合否、更には、半導体集積回路の合否が判定される。以上で半導体集積回路のテスト方法が終了する。
このように、実施例1では、RAM3へのデータの書き込みをスキャンシフトインではなく、メモリBIST回路4を用いることで、全アドレスに所望のデータが容易に書き込むことが可能となっている。さらに、ATPG実行時にはRAMをROMと見なしてロジック用テストパタンを生成しているので、ロジック用テストパタンの生成が容易となっている。これらのことにより、RAM3の周辺のシステムロジック回路2のメモリ周辺論理回路6のat-speedテストが実施可能になっている。実施例1では、元々RAM3のメモリ内部のテストのために用意されたメモリBIST回路4を利用してRAM3へのデータ書き込みや信号制御を行うことで、ATPGによるテストパタン生成の負荷を軽減し、テストパタン生成を容易にしている。このことにより、メモリ周辺論理回路6の遅延故障テストの検出率を向上させることができる。また、テストパタン生成実行時間の短縮、生成テストパタン数の削減も可能となる。
次に、実施例1の変形例として、スキャンチェーン11乃至13へのロジック用テストパタンのシフトインと並行して、RAM3へメモリ用テストパタンの書き込みを行う際に、メモリ用テストパタンの書き込みよりロジック用テストパタンのシフトインが先に終了する場合について説明する。
実施例1の変形例に係る半導体集積回路は、図2の半導体集積回路のA点に、図8に示すようなクロック制御回路47を、図2と図8のA点が一致するように挿入された半導体集積回路である。クロック制御回路47は、インバータINV、否定論理積回路NANDと論理積回路AND2を有している。インバータINVの入力端はスキャンイネーブルSCAN EN1を入力する。インバータINVの出力端は否定論理積回路NANDの一方の入力端に接続する。否定論理積回路NANDの他方の入力端はライトフィニッシュWrite Finishを入力する。否定論理積回路NANDの出力端は論理積回路AND2の一方の入力端に接続する。論理積回路AND2の他方の入力端はロジックCLKを入力する。論理積回路AND2の出力端はスキャンチェーン11乃至13のフリップフロップF/F1乃至F/F13のスキャンクロック端子に接続する。
図9に示すように、クロック制御回路47を有する実施例1の変形例の半導体集積回路によれば、シフトインの終了からメモリ用テストパタンの書き込みが終了するまで、スキャンチェーン11乃至13が受信するスキャンクロックSCAN CLKを止めることができる。具体的には、タイミングT2からT3の間で、スキャンクロックSCAN CLKが止まっている。
図10に示すように、スキャンシフトインにスキャンクロックSCAN CLKがmステップ分必要であり、メモリBIST回路4による書き込みにメモリBISTクロックmBIST CLKがnステップ分必要であり、nステップの方がmステップよりステップ数が大きいとする。遅延テスト開始時にはスキャンシフトインとメモリBIST回路4の書き込みの動作が並行して行われている。ATPGで作成されるロジック用テストパタンのスキャンシフトインが終わっても、システムモードに切り替わるまでの(n−m)ステップの間は、メモリBIST回路4でのRAM3へのデータ書き込みが続く。この間、スキャンチェーン11乃至13でのスキャン動作は停止して、シフトインしたロジック用テストパタンを保持する必要がある。このことにより、メモリBIST回路4の書き込みのステップ数がシフトインのステップ数より長い場合でも、スキャンシフトインした論理状態を保持して、メモリ周辺論理の遅延故障テストが可能になる。なお、nステップの方がmステップよりステップ数が大きい場合はクロック制御回路47が必要になり、nステップの方がmステップよりステップ数が小さい場合はクロック制御回路47が不必要になるのであるから、nステップとmステップの大小関係に基づいて、半導体集積回路の設計の際にクロック制御回路47の有無を決める必要がある。
図11に示すように、実施例2に係る半導体集積回路が、図2の実施例1の半導体集積回路1と異なる点は、セレクタ論理回路21から22が、単一のBISTイネーブルBIST ENではなく、複数のBISTイネーブルBIST EN1、BIST EN2によって、組み合わせ論理回路側かメモリBIST回路側かの選択を行っている点である。具体的に、RAM3は、データ入力ピンD0、D1、D2、D3を有している。また、RAM3は、2本のアドレスピンA0,A1、リードイネーブルピンREN、ライトイネーブルピンWEN(以下まとめて制御入力ピンと言う)を有している。RAM3は、データ出力ピンO0、O1、O2、O3を有している。データ入力ピンD0,D1,D2,D3はシステム動作時の周辺論理回路6とメモリBIST制御回路4からの入力を切り替える複数のセレクタ論理回路21それぞれの出力端子に接続する。これらのセレクタ論理回路21の切り替えは共通のBISTイネーブル信号BIST_EN_1で制御される。制御入力ピンA0、A1、REN、WENはシステム動作時の周辺論理回路6とメモリBIST制御回路4からの入力を切り替える複数のセレクタ論理回路22それぞれの出力端子に接続する。これらのセレクタ論理回路22の切り替えは共通のBISTイネーブル信号BIST_EN_2で制御される。
BISTイネーブル信号BIST_EN_1がロウレベル(BIST_EN_1=0)の時、データ入力ピンD0、D1、D2、D3には、システムロジック回路内のスキャンチェーン11から出力されたデータがメモリ周辺論理回路6及びセレクタ論理回路21を通って入力する。一方、BISTイネーブル信号BIST_EN_1がハイレベル(BIST_EN_1=1)の時には、メモリBIST回路4内のスキャンチェーン14から出力されるデータが直接セレクタ論理回路21を通ってデータ入力ピンD0、D1、D2、D3に入力する。
同様に、BISTイネーブル信号BIST_EN_2がロウレベル(BIST_EN_1=0)の時、制御入力ピンA0、A1、REN、WENには、システムロジック回路内のスキャンチェーン11から出力されたデータがメモリ周辺論理回路6から出力され、セレクタ論理回路22を通って入力する。一方、BISTイネーブル信号BIST_EN_2がハイレベル(BIST_EN_2=1)の時には、メモリBIST回路4内のスキャンチェーン14から出力される制御データが直接セレクタ論理回路22を通って制御入力ピンA0、A1、REN、WENに入力する。
図12に示すように、実施例2の半導体集積回路のテスト方法では、複数の図12の例では2つのテストモードTEST1、TEST2を設定する。2つのテストモードTEST1、TEST2と各モード時のセレクタ選択信号BIST_EN_1, BIST_EN_2の値は対応している。テストモードTEST1ではBIST_EN_1=0とBIST_EN_2=1であるので、図13に示すように、RAM3のデータピンD0、D1、D2、D3にはメモリ周辺組み合わせ論理回路6が接続される。またBIST_EN_2=1であるので、RAM3の制御入力ピンA0、A1、REN、WENにはメモリBIST回路4が接続される。また、図12のテストモードTEST2ではBIST_EN_1=1とBIST_EN_2=0であるので、図14に示すように、RAM3のデータ入力ピンD0、D1、D2、D3にはメモリBIST回路4が接続される。またBIST_EN_2=0であるので、RAM3の制御入力ピンA0、A1、REN、WENにはメモリ周辺組み合わせ論理回路6が接続される。
次に、実施例2に係る半導体集積回路のテスト方法について説明する。図15に示すように、ステップS2において、図4の実施例1のステップS2と同様に、メモリBIST回路による故障テストを実施する。
ステップS6で、自動テストパタン生成ATPGを実行する。ステップS6はステップS7乃至S10で構成されている。ステップS7で、故障が発生する可能性があると考えられる対象箇所のリストである対象故障リストを生成する。
ステップS8で、テストモードTEST1でATPGを実行する。RAM3の制御入力ピンA0、A1、REN、WENは単にメモリBIST回路4の内のスキャンチェーン14に希望する値を設定するだけなので、ATPGのアルゴリズムに複雑な処理は必要ない。すなわち、制御入力ピンA0、A1、REN、WENひいては、メモリ用テストパタンは可制御性が高いといえる。ここで、データ入力ピンD0、D1、D2、D3に適切な値を設定しさらにデータ出力ピンO0、O1、O2、O3に適切な値を設定するためには、実施例1で用いた組み合わせATPGではなく、メモリ動作モデルに図5のRAMモデルを使ったシーケンシャルなアルゴリズムを有するATPGを用いる。メモリ周辺論理回路6だけでなくRAM3を顧慮してロジック用テストパタンを決定する必要があるため、ATPGアルゴリズムにおいて複雑な処理が必要となるが、制御入力ピンA0、A1、REN、WENの設定値がロジック用テストパタンのテスト目的に応じて容易に変更することができるので、複雑な処理の複雑度を著しく軽減することができる。ロジック用テストパタンの可制御性も十分高いといえる。これらにより、ATPGがRAMモデルを使ったシーケンシャルなアルゴリズムを有するATPGであるにもかかわらず、ATPGにとって非常にテストパタン生成処理の負荷が軽くなるため、データ入力ピンD0、D1、D2、D3に出力するメモリ周辺論理回路6をテストするロジック用テストパタンの生成効率が非常に高くなる。
ステップS9で、テストモードTEST1で未検出の対象故障リストに対してテストモードTEST2でATPGを実行する。制御入力ピンA0、A1、REN、WENに接続等関連するメモリ周辺論理回路6をテストするロジック用テストパタンを生成する。この時、テストモードTEST1で生成したロジック用テストパタンで検出可能な対象故障リストに対して改めてテストパタン生成する必要はないので、テストモードTEST1で未検出となった対象故障リストを対象にロジック用テストパタンを生成すればよい。
ステップS10で、テストモードTEST1とTEST2のロジック用テストパタンとメモリ用テストパタンを出力する。以上でステップS6が終了する。
ステップS13で、テストする半導体集積回路をテストモードTEST1に設定する。さらに、遅延テストの設定として、テストモードTEST1のロジック用テストパタンをスキャンチェーン11にシフトインする。また、テストモードTEST1のメモリ用テストパタンをメモリBIST回路4からシフトインする。
ステップS14で、テストする半導体集積回路をテストモードTEST1に設定したまま、実施例1の図4のステップS4と同様に、遅延テストを実行する。
ステップS15で、テストする半導体集積回路をテストモードTEST1に設定したまま、実施例1の図4のステップS5と同様に、遅延テストのテスト結果を出力する。
ステップS23で、テストする半導体集積回路をテストモードTEST2に設定する。さらに、遅延テストの設定として、テストモードTEST2のロジック用テストパタンをスキャンチェーン11にシフトインする。また、テストモードTEST2のメモリ用テストパタンをメモリBIST回路4からシフトインする。
ステップS24で、テストする半導体集積回路をテストモードTEST2に設定したまま、実施例1の図4のステップS4と同様に、遅延テストを実行する。
ステップS25で、テストする半導体集積回路をテストモードTEST2に設定したまま、実施例1の図4のステップS5と同様に、遅延テストのテスト結果を出力する。以上で、実施例2の半導体集積回路のテスト方法が終了する。
実施例2によれば、外部からの制御性の低いメモリ入力の内一部を、制御性の高いメモリBIST回路4内部と接続することによって、所望のデータをシステム論理回路6側からRAM3へ書き込むロジック用テストパタンの生成が容易になり、故障検出率が向上する。さらに、メモリBIST回路4内部と接続する入力をテストモードTEST1、TEST2で変化させることにより、対象故障リストから必要な全てのロジック用テストパタンを生成することも可能となる。また、実施例2によれば、メモリ周辺論理回路6のロジック用テストパタンを生成する際に、メモリBIST回路4によりRAM3のデータを一部を設定しているので、ロジック用テストパタン生成が容易になるとともに、テストパタン生成実行時間の短縮と生成テストパタン数の削減が可能となる。
なお、テストモードは、TEST1とTEST2の2つに限らない。RAM3の入力側でシステム論理回路1のメモリ周辺論理回路6とメモリBIST回路4を切り替える複数のセレクタ論理回路21、22を2以上のn個のグループに分割してもよい。n個のグループに分割した場合は、n個のBISTイネーブル信号BIST_EN_1乃至BIST_EN_nをそれぞれグループ毎に設定する。そして、全部でn個のグループの中の一部である1つもしくは複数のグループのセレクタ論理回路21、22のBISTイネーブル信号BIST_EN_1乃至BIST_EN_nだけをメモリ周辺論理回路6に切り替え、残りのグループのセレクタ論理回路21、22のBISTイネーブル信号BIST_EN_1乃至BIST_EN_nをメモリBIST回路4に切り替えるn個のテストモードTEST1乃至TESTnを設定する。図17はn個のグループから1つずつグループをメモリ周辺論理回路6側に選択(BIST_EN_1〜n=0)してn個のテストモードTEST1乃至TESTnを生成したテストモードの設定例である。このようなn個のテストモードTEST1乃至TESTnを用いて、全ての対象故障リストをテストする半導体集積回路のテスト方法を図16のフローチャートに示す。図15の2つのテストモードの場合と同様に、テストモード毎にATPGと遅延テストを実行する。このことのより全ての対象故障リストのテストを行うことが可能である。図17の例では、1つのテストモードでは1つのBIST_EN信号だけが0すなわちメモリ周辺論理回路6側を選択する動作を行い、逆に、他のBIST_EN信号はすべて1すなわちメモリBIST回路4側を選択するので、実施例2よりより多くのRAM3上のデータをメモリBIST回路4から設定することができる。このことにより、ロジック用テストパタン生成が一層容易になるとともに、テストパタン生成実行時間の短縮と生成テストパタン数の削減がさらに推進される。
実施例1と2は、本発明を実施するにあたっての具体化の例を示したものに過ぎず、実施例1と2によって本発明の技術的範囲が限定的に解釈されてはならないものである。本発明は、その技術的思想、またはその主要な特徴から逸脱することなく、様々な形で実施することができる。すなわち、本発明の特許請求の範囲を逸脱しない範囲で、変更・改良や一部転用などが可能であり、これらすべて本発明の請求範囲内に包含されるものである。
本発明の一実施形態に係る半導体集積回路の構成図(その1)である。 本発明の一実施形態に係る半導体集積回路の構成図(その2)である。 本発明の一実施形態に係る半導体集積回路の構成図(その3)である。 本発明の一実施形態に係る半導体集積回路のテスト方法のフローチャートである。 本発明の一実施形態に係る半導体集積回路のテスト方法の自動テストパタン生成時に使用されるRAMのためのRAMモデルの構成表である。 本発明の一実施形態に係る半導体集積回路のテスト方法の自動テストパタン生成時に使用されるRAMのためのROMモデルの構成表である。 本発明の一実施形態に係る半導体集積回路のテスト方法のタイミングチャートである。 本発明の一実施形態に係る半導体集積回路が有するクロック制御回路の構成図である。 本発明の一実施形態に係る半導体集積回路のテスト方法のメモリBISTへの書き込みに要するステップ数がスキャンシフトインに要するステップ数より多い場合のタイミングチャートである。 本発明の一実施形態に係る半導体集積回路のテスト方法のメモリBISTへの書き込みに要するステップ数がスキャンシフトインに要するステップ数より多い場合に、スキャン設定内容を保持するテストパタン図である。 本発明の一実施形態に係る半導体集積回路の構成図である。 本発明の一実施形態に係る半導体集積回路の2つのテストモードとセレクタ制御信号の関係を示す表である。 本発明の一実施形態に係る半導体集積回路のTEST1モードにおける接続状態図である。 本発明の一実施形態に係る半導体集積回路のTEST2モードにおける接続状態図である。 本発明の一実施形態に係る半導体集積回路のテスト方法のフローチャートである。 本発明の一実施形態に係る半導体集積回路のテスト方法のフローチャートである。 本発明の一実施形態に係る半導体集積回路のn個のテストモードとセレクタ制御信号の関係を示す表である。
符号の説明
1 半導体集積回路
2 システムロジック回路
3 ランダムアクセスメモリ
4 メモリ組み込み自己試験(BIST)回路
5 ロジック組み込み自己試験(BIST)回路
6 メモリ周辺組み合わせ論理回路
7乃至9 組み合わせ論理回路
11乃至14 スキャンチェーン
21乃至25 セレクタ論理回路
47 クロック制御回路

Claims (5)

  1. ランダムアクセスメモリと、
    前記ランダムアクセスメモリの故障テストの合格の後に、メモリ用テストパタンを前記ランダムアクセスメモリに書き込むメモリBIST回路と、
    前記ランダムアクセスメモリおよびメモリBIST回路とは独立して構成され、前記ランダムアクセスメモリが読み出し動作条件に設定された状態で自動パタン生成により生成されたロジック用テストパタンのシフトインを行うスキャンチェーンと、
    前記スキャンチェーンとでシステムロジック回路を構成可能な組み合わせ論理回路を有し、
    前記ロジック用テストパタンに起因し前記組み合わせ論理回路を経由した読み出し命令信号により、前記ランダムアクセスメモリは前記メモリ用テストパタンから読み出した読み出しデータ信号を出力し、前記読み出しデータ信号に起因し前記組み合わせ論理回路を経由したテスト結果が前記スキャンチェーンに入力し、前記スキャンチェーンが前記テスト結果のシフトアウトを行うことを特徴とする半導体集積回路。
  2. 前記シフトインと並行して前記メモリ用テストパタンの書き込みを行う際に、前記メモリ用テストパタンの書き込みより前記シフトインが先に終了する場合は、前記シフトインの終了から前記メモリ用テストパタンの書き込みが終了するまで、前記スキャンチェーンが受信するスキャンクロックを止めるクロック制御回路をさらに有することを特徴とする請求項1に記載の半導体集積回路。
  3. ランダムアクセスメモリが読み出し動作条件に設定された状態で自動パタン生成によりロジック用テストパタンを生成し、
    メモリBIST回路がランダムアクセスメモリの故障テストを行い、
    前記故障テストの合格の後に、前記メモリBIST回路が前記メモリ用テストパタンを前記ランダムアクセスメモリに書き込み、
    前記ランダムアクセスメモリおよびメモリBIST回路とは独立して構成され、組み合わせ論理回路とでシステムロジック回路を構成可能なスキャンチェーンが前記ロジック用テストパタンのシフトインを行い、
    前記ロジック用テストパタンに起因し前記組み合わせ論理回路を経由した読み出し命令信号により、前記ランダムアクセスメモリは前記メモリ用テストパタンから読み出した読み出しデータ信号を出力し、
    前記読み出しデータ信号に起因し前記組み合わせ論理回路を経由したテスト結果が前記スキャンチェーンに入力し、
    前記スキャンチェーンが前記テスト結果のシフトアウトを行うことを特徴とする半導体集積回路のテスト方法。
  4. 前記シフトインと並行して前記メモリ用テストパタンの書き込みを行う際に、前記メモリ用テストパタンの書き込みより前記シフトインが先に終了する場合は、前記シフトインの終了から前記メモリ用テストパタンの書き込みが終了するまで、前記スキャンチェーンが受信するスキャンクロックを止めることを特徴とする請求項3に記載の半導体集積回路のテスト方法。
  5. ランダムアクセスメモリの複数の入力に接続するメモリBIST回路が前記ランダムアクセスメモリの故障テストを行い、
    前記入力の一部をメモリBIST回路に接続し、他の前記入力をシステムロジック回路に接続するという条件で自動パタン生成によりロジック用テストパタンを生成し、
    前記故障テストの合格の後に、前記メモリBIST回路内のスキャンチェーンを用いてテストパタンを前記ランダムアクセスメモリに書き込み、
    前記ランダムアクセスメモリおよびメモリBIST回路とは独立して構成され、組み合わせ論理回路とで前記システムロジック回路を構成可能なスキャンチェーンが前記ロジック用テストパタンのシフトインを行い、
    前記ロジック用テストパタンに起因し前記組み合わせ論理回路を経由した読み出し命令信号により、前記ランダムアクセスメモリは前記メモリ用テストパタンに基づいて読み出しデータ信号を出力し、
    前記読み出しデータ信号に起因し前記組み合わせ論理回路を経由したテスト結果が前記スキャンチェーンに入力し、
    前記スキャンチェーンが前記テスト結果のシフトアウトを行うことを特徴とする半導体集積回路のテスト方法。
JP2005197888A 2005-07-06 2005-07-06 半導体集積回路、および、半導体集積回路のテスト方法 Expired - Fee Related JP4693526B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005197888A JP4693526B2 (ja) 2005-07-06 2005-07-06 半導体集積回路、および、半導体集積回路のテスト方法
US11/480,958 US7484154B2 (en) 2005-07-06 2006-07-06 Semiconductor integrated circuit, method for testing semiconductor integrated circuit, and computer readable medium for the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005197888A JP4693526B2 (ja) 2005-07-06 2005-07-06 半導体集積回路、および、半導体集積回路のテスト方法

Publications (2)

Publication Number Publication Date
JP2007017236A JP2007017236A (ja) 2007-01-25
JP4693526B2 true JP4693526B2 (ja) 2011-06-01

Family

ID=37680428

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005197888A Expired - Fee Related JP4693526B2 (ja) 2005-07-06 2005-07-06 半導体集積回路、および、半導体集積回路のテスト方法

Country Status (2)

Country Link
US (1) US7484154B2 (ja)
JP (1) JP4693526B2 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4355345B2 (ja) 2007-02-23 2009-10-28 インターナショナル・ビジネス・マシーンズ・コーポレーション 集積回路における電圧変動を抑制する回路
JP5194890B2 (ja) * 2008-03-05 2013-05-08 富士通セミコンダクター株式会社 半導体集積回路
US8065572B2 (en) * 2009-06-30 2011-11-22 Oracle America, Inc. At-speed scan testing of memory arrays
US8427854B2 (en) 2010-04-15 2013-04-23 Microsoft Corporation Utilization of memory refresh cycles for pattern matching
TWI475539B (zh) * 2013-01-17 2015-03-01 Raydium Semiconductor Corp 具有內建自我測試功能之驅動電路
JP6832787B2 (ja) * 2017-04-28 2021-02-24 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置のテスト方法
CN112612264A (zh) * 2020-12-22 2021-04-06 北京时代民芯科技有限公司 一种can总线控制器中串口自测试方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004012374A (ja) * 2002-06-10 2004-01-15 Matsushita Electric Ind Co Ltd 半導体集積回路のテストシステム、検査方法およびスキャンテストパターン作成方法
JP2004334933A (ja) * 2003-04-30 2004-11-25 Matsushita Electric Ind Co Ltd 故障検出回路、および故障検出方法
JP2005135527A (ja) * 2003-10-30 2005-05-26 Toshiba Corp 半導体集積回路

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2865035B2 (ja) * 1995-11-29 1999-03-08 日本電気株式会社 半導体記憶装置の試験方法
US6205564B1 (en) * 1997-06-02 2001-03-20 Lucent Technologies Inc. Optimized built-in self-test method and apparatus for random access memories
US6148425A (en) * 1998-02-12 2000-11-14 Lucent Technologies Inc. Bist architecture for detecting path-delay faults in a sequential circuit
US6687865B1 (en) * 1998-03-25 2004-02-03 On-Chip Technologies, Inc. On-chip service processor for test and debug of integrated circuits
US6668347B1 (en) * 2000-05-08 2003-12-23 Intel Corporation Built-in self-testing for embedded memory
JP3785388B2 (ja) * 2002-09-17 2006-06-14 松下電器産業株式会社 故障検出方法
US7131081B2 (en) * 2003-02-14 2006-10-31 Nec Laboratories America, Inc. Scalable scan-path test point insertion technique
JP2006058242A (ja) * 2004-08-23 2006-03-02 Nec Electronics Corp 集積回路

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004012374A (ja) * 2002-06-10 2004-01-15 Matsushita Electric Ind Co Ltd 半導体集積回路のテストシステム、検査方法およびスキャンテストパターン作成方法
JP2004334933A (ja) * 2003-04-30 2004-11-25 Matsushita Electric Ind Co Ltd 故障検出回路、および故障検出方法
JP2005135527A (ja) * 2003-10-30 2005-05-26 Toshiba Corp 半導体集積回路

Also Published As

Publication number Publication date
US7484154B2 (en) 2009-01-27
US20070022343A1 (en) 2007-01-25
JP2007017236A (ja) 2007-01-25

Similar Documents

Publication Publication Date Title
US7313739B2 (en) Method and apparatus for testing embedded cores
JP4693526B2 (ja) 半導体集積回路、および、半導体集積回路のテスト方法
JP5256840B2 (ja) 論理回路
KR20060043690A (ko) 테스트 회로, 그 테스트 회로를 내장한 반도체집적회로장치및 그 테스트 방법
US20130275824A1 (en) Scan-based capture and shift of interface functional signal values in conjunction with built-in self-test
JP2007263866A (ja) 半導体集積回路及びそのテスト方法
JP2010256130A (ja) 半導体集積回路、および半導体集積回路のテスト方法
US7231563B2 (en) Method and apparatus for high speed testing of latch based random access memory
US8918689B2 (en) Circuit for testing integrated circuits
JP2005332555A (ja) テスト回路、テスト方法、及び半導体集積回路装置
US8924801B2 (en) At-speed scan testing of interface functional logic of an embedded memory or other circuit core
US20110175638A1 (en) Semiconductor integrated circuit and core test circuit
US7152194B2 (en) Method and circuit for scan testing latch based random access memory
JP2007205933A (ja) 半導体集積回路
US20090212818A1 (en) Integrated circuit design method for improved testability
US7315479B2 (en) Redundant memory incorporating serially-connected relief information storage
US7168004B2 (en) Technique for testability of semiconductor integrated circuit
US20060041807A1 (en) Integrated circuit
JP6832787B2 (ja) 半導体装置および半導体装置のテスト方法
US20060053356A1 (en) Integrated circuit
JP5158087B2 (ja) 半導体集積回路装置および半導体集積回路装置の試験方法
JP2005257366A (ja) 半導体回路装置及び半導体回路に関するスキャンテスト方法
US20060248417A1 (en) Clock control circuit for test that facilitates an at speed structural test
JP4610919B2 (ja) 半導体集積回路装置
JP5237148B2 (ja) 半導体集積回路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080625

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100825

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110201

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110222

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140304

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees