JP4176944B2 - 半導体集積回路及び記録媒体 - Google Patents

半導体集積回路及び記録媒体 Download PDF

Info

Publication number
JP4176944B2
JP4176944B2 JP2000206028A JP2000206028A JP4176944B2 JP 4176944 B2 JP4176944 B2 JP 4176944B2 JP 2000206028 A JP2000206028 A JP 2000206028A JP 2000206028 A JP2000206028 A JP 2000206028A JP 4176944 B2 JP4176944 B2 JP 4176944B2
Authority
JP
Japan
Prior art keywords
test
circuit
terminal
data
register
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000206028A
Other languages
English (en)
Other versions
JP2001099896A (ja
Inventor
孝樹 野口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2000206028A priority Critical patent/JP4176944B2/ja
Publication of JP2001099896A publication Critical patent/JP2001099896A/ja
Application granted granted Critical
Publication of JP4176944B2 publication Critical patent/JP4176944B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路に組み込まれるテスト容易化技術に関するものであり、例えば、バウンダリスキャンの規格としてJTAG(Joint Test Action Grope)が採用された半導体集積回路に適用して有効な技術に関する。
【0002】
【従来の技術】
半導体集積回路のテスト容易化技術として、テスト動作時にスキャンクロックに同期してスキャンパスにスキャンデータを伝達させながらテスト動作させ、その結果をスキャンアウトする構成が広く採用されている。
【0003】
特開平3−42850号公報には、半導体集積回路の外部からテストモードを指定すると、内部で自動的にスキャンデータを発生し、スキャンパスを通してバーン・イン・テストを可能にした発明が記載されている。また、特開平6−201780号公報には、スキャンチェーンの入力にテストパターン発生器を配置し、スキャンチェーンの出力にテスト出力圧縮器を配置して、テスト時間の短縮を企図した発明が記載されている。特開平5−264664号公報にはTAPコントローラを用いたバウンダリスキャンに関しTAPコントローラで生成した命令のデコード結果にしたがってテスト対象レジスタだけにクロックを供給して低消費電力を図るという技術が記載されている。
【0004】
特開平5−264664号公報には、テストイネーブル信号及びテストクロック信号を、夫々セルフテスト回路を組み込んだ複数の半導体集積回路に並列に供給し、セルフテスト機構を同時に動作させて故障診断を行なうことにより、テスト時間を短縮した発明に関する記載がある。特開平8−220192号公報には、夫々アドレス付けされたスキャン可能なフリップフロップのチェーンを有する複数個の被試験LSIと共に検査制御LSIが1つの回路基板に実装され、検査制御LSIは疑似乱数発生器と符号圧縮器を有し、スキャン・イン時にフリップフロップに疑似乱数を書き込み、スキャン・アウト時にフリップフロップのデータを符号圧縮器に供給し、故障診断の容易化及び高速化を図ろうとする発明に関する記載がある。
【0005】
【発明が解決しようとする課題】
本発明者はメモリやCPUなどのように比較的論理規模の大きな複数個の回路モジュール(機能モジュールとも称される機能単位)を搭載した半導体集積回路のデバイステストについて検討した。これによれば、テストの効率を向上させるには外部から供給すべきテストデータ及び外部に出力すべきテスト結果のデータ量を減らし、回路モジュールのテスト動作を並列化してテスト時間を短縮することの必要性が明らかにされた。また、テストの為に必要な回路の論理・物理的な規模を極力小さくするには各回路モジュールへのテストデータ及び結果データの入力及び出力を行なう回路を各回路モジュールに共通化することが必要である。さらに、BGA(Ball Grid array)のような面実装パッケージを適用した半導体集積回路と実装基板との電気的接続を検査するためのバウンダリスキャンの規格としてJTAGを採用している場合にはバウンダリスキャンだけに用いられるようなJTAGコントローラをその他のテストに流用することがテスト回路の論理・物理的規模の縮小に役立つ。これらの点に付いては、前記何れの先行技術によっても十分ではない。
【0006】
本発明の目的は、複数個の回路モジュールをテストするのに外部から供給すべきテストデータ及び外部に出力すべきテスト結果のデータの量を減らすことができ、しかも前記複数個の回路モジュールのテスト時間を短縮することができる半導体集積回路を提供することにある。
【0007】
本発明の別の目的は、複数個の回路モジュールをテストする為に必要なテスト回路の規模を極力小さくすることができる半導体集積回路を提供することにある。
【0008】
本発明のその他の目的は、テスト時間の短縮並びにテスト回路の規模の縮小を実現する半導体集積回路の設計を容易化できる設計データを提供しようとするものである。
【0009】
本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。
【0010】
【課題を解決するための手段】
本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。
【0011】
〔1〕半導体集積回路は、テスト入力端子、テスト出力端子及びテスト制御端子が設けられた複数個の回路モジュールと、一方の回路モジュールのテスト出力端子を他方の回路モジュールのテスト入力端子に結合してテスト信号チェーンを形成するテストパスと、テストパスに接続されたテストインタフェース回路と、を1個の半導体チップに含む。前記回路モジュールは、被テスト回路、テストレジスタ回路及びテスト制御回路を有する。前記テストレジスタ回路は前記テスト入力端子及びテスト出力端子を介してテストパスに結合され且つテスト制御回路との間で入出力可能にされる。前記テスト制御回路は被テスト回路に対するテストの開始を前記制御端子から受け、前記テストレジスタ回路のテスト制御情報を用いて前記テストを行ない、テスト結果の情報をテストレジスタ回路に供給する。前記テストインタフェース回路は、前記テスト制御情報を外部から前記テストレジスタ回路にテストパスを介して供給し、前記テスト結果の情報を前記テストレジスタ回路から前記テストパスを介して外部に出力する。
【0012】
前記被テスト回路のテストを行なうとき、外部からテストインタフェース回路にテスト制御情報を入力し、テスト対象とされる全ての回路モジュール内のテストレジスタ回路にテストインタフェース回路からテスト信号チェーンであるテストパスを介してテスト制御情報をセットすることができる。その後、制御端子を介して各テスト制御回路にテスト動作を指示することにより、テスト回路は並列的にテスト制御情報に基づいて被テスト回路をテスト動作させる。テスト結果の情報は個々のテストレジスタ回路に保持され、その後、テスト対象とされる全ての回路モジュール内のテストレジスタ回路の情報がテスト信号チェーンであるテストパスを介してテストインタフェース回路に読み込まれて、外部に出力される。このように、回路モジュールのテスト動作を並列化できテスト時間を短縮できる。また、回路モジュールへのテスト制御情報及びテスト結果データの入力及び出力を行なうテストインタフェース回路を各回路モジュールに共通化することができるから、テストの為に必要な回路の論理・物理的な規模の縮小にも寄与することができる。
【0013】
〔2〕前記テスト制御回路にはテストパターン発生回路及び圧縮回路を採用しても良い。前記テストパターン発生回路は前記テストレジスタ回路に入力されたテスト制御情報に基づいて前記被テスト回路にテストパターンを発生し、前記圧縮回路は、前記被テスト回路の動作結果を圧縮して前記テスト結果の情報を生成し、前記テストレジスタ回路に供給するものである。前記テスト制御情報はテスト動作内容を指示するテストコマンドとして位置付けることも可能である。テストパターン発生回路はテストコマンドを解読してテストパターンを発生する。
【0014】
これにより、テストインタフェース回路からテストレジスタ回路に送るテスト制御情報、そしてテストレジスタ回路からテストインタフェース回路に送る演算結果情報の各情報量を少なくすることができ、テスト効率向上に寄与することができる。
【0015】
〔3〕前記複数個の回路モジュールの制御端子を共通接続して前記テストインタフェース回路に結合すれば、複数の回路モジュールに並列的にテスト動作を指示できることはもとより、そのための指示信号数も最小限に抑えることができる。
【0016】
〔4〕前記テストパスには、例えば、前記テストインタフェース回路を基点に前記複数個のテストレジスタ回路を直列的に接続し、前記テストインタフェース回路に帰還するスキャンパスの構成を採用すればよい。このとき、転送制御クロック制御はテストインタフェース回路で生成すればよい。
【0017】
その場合、前記テストレジスタ回路は、例えば、前記テスト入力端子に接続されたシリアル入力端子、前記テスト出力端子に接続されたシリアル出力端子、前記パターン発生回路に接続されたパラレル出力端子、前記圧縮回路に接続されたパラレル入力端子を有する、複数ビットのシフトレジスタによって構成すればよい。
【0018】
前記テストパスは、前記テストレジスタ回路を直列的に接続した経路を単一系統で構成する場合に限定されず、複数系統で構成してもよい。複数系統にすれば、テストパスを介する前記テスト制御情報及びテスト結果情報の転送時間を更に短くすることが可能になる。
【0019】
〔5〕前記複数個の回路モジュールの一つが例えばキャッシュメモリやランダム・アクセス・メモリであれば、一般的にテストに時間のかかるメモリテストの効率が向上し、テスト効率向上の効果を最大限に引き出すことができる。
【0020】
また、前記複数個の回路モジュールが、共通バスに接続された第1の回路モジュールと、前記第1の回路モジュールに接続され前記共通バスとは非接続の第2の回路モジュールとを含んでいるとき、共通バス経由のテストが不可能な第2の回路モジュールに対しても第1の回路モジュールと同様に能率的なテストが可能である。
【0021】
そのような第2の回路モジュールは、通信系や蓄積系データを処理するコントローラのローカルなデータバッファ等のローカルメモリとして用いられることが多く、そのような場合を想定すると、システム・オン・チップ化されるようなシステムLSIにおいて、共通バス経由のテストが不可能なローカルメモリに対しても能率的にメモリテストが可能になる。
【0022】
〔6〕前記テストインタフェース回路は、例えば、外部端子としてクロック端子、モード端子、データ入力端子、及びデータ出力端子を有し、前記モード端子を介して第1の動作モードが指定されたとき、前記データ入力端子に供給される情報を取り込み、取り込んだ情報を前記テストパスに送り出し、前記モード端子を介して第2の動作モードが指定されたとき、前記データ入力端子に供給される情報を取り込み、取り込んだ情報をデコードして前記テスト制御端子に向けて制御信号を出力し、前記モード端子を介して第3の動作モードが指定されたとき、前記テストパスを通してテストレジスタ回路の情報を取り込んで、前記データ出力端子から外部に出力するように構成してもよい。
【0023】
更に詳しくは、上記具体的な構成のテストインタフェース回路は、IEEE1149.1の規格に準拠した手順で信号入出力を行なうようにすればよい。この規格は、前記バウンダリスキャンの規格であるJTAGに準拠するものであり、バウンダリスキャンに用いられるようなJTAGコントローラをテストインタフェース回路の主要なインタフェース機能と兼用可能になるから、JTAGコントローラの有効利用、若しくはテスト用回路の規模を一層縮小するのに役立つ。
【0024】
〔7〕上述の半導体集積回路の設計を容易化するという観点に立てば、上述した回路モジュールの設計データ若しくは半導体集積回路それ自体の設計データを、所謂IP(Intellectual Property)モジュールとして提供すればよい。IPモジュールは、例えば、HDL(Hardware Description Language)やRTL(Register Transfer Language)等の機能記述データと共に回路のマスクパターンデータ若しくは描画データも有するハードIPモジュール、機能記述データを主とするソフトIPモジュールに大別される。このIPモジュールのような回路モジュールデータは、半導体チップに形成されるべき集積回路をコンピュータを用いて設計するための回路モジュールデータであって、前記コンピュータにより読取り可能に記憶媒体に記憶されて提供される。
【0025】
回路モジュールデータは、テスト入力端子と、テスト出力端子と、テスト制御端子と、正規インタフェース端子と、前記正規インタフェース端子に接続された被テスト回路と、前記テスト入力端子から情報を入力し前記テスト出力端子に情報を出力するテストレジスタ回路と、前記被テスト回路に対するテストの開始を前記制御端子から受け、前記テストレジスタ回路のテスト制御情報を用いて前記テストを行ない、テスト結果の情報をテストレジスタ回路に供給するテスト制御回路と、を前記半導体チップに形成する為の図形パターンデータ若しくは機能記述データを含む。
【0026】
IPモジュールの規模はLSIレベルにまで及ぶことがある。これを想定したとき、前記回路モジュールデータを被テスト回路が異なる複数の回路モジュールに対して有する。更に、前記複数の回路モジュールが形成される半導体チップ上に、夫々の回路モジュールに対して、一方の回路モジュールのテスト出力端子を他方の回路モジュールのテスト入力端子に結合してテスト信号チェーンを構成するテストパスを形成する為の図形パターンデータ若しくは機能記述データとしてのテストパスデータを有する。更に、前記テスト制御情報を外部から前記テストレジスタ回路に前記テストパスを介して供給し、前記テスト結果の情報を前記テストレジスタ回路から前記テストパスを介して外部に出力するテストインタフェース回路を前記半導体チップに形成する為の図形パターンデータ若しくは機能記述データとしてのテストインタフェース回路情報を更に含んでもよい。
【0027】
【発明の実施の形態】
図2には本発明に係る半導体集積回路の一例としてマイクロコンピュータが示される。同図に示されるマイクロコンピュータ1は、特に制限されないが、公知の半導体集積回路製造技術によって単結晶シリコンのような1個の半導体チップに形成されている。
【0028】
マイクロコンピュータ1は、回路モジュールとして、中央処理装置(CPU)2とキャッシュメモリ3を有し、双方はキャッシュバス4を介して接続される。キャッシュメモリ3は共通バスとしての内部バス5に結合され、内部バス5には、更に別の回路モジュールとして、前記CPU2によってアクセス可能な内部メモリ6、前記CPU2への割込みを制御する割込みコントローラ7、外部バスサイクルを制御するバスステートコントローラ(BSC)8、入出力ポート9、更にCPU2によってアクセス可能な代表的に2個図示された周辺回路10,11が接続されている。マイクロコンピュータ1はクックパルスジェネレータ(CPG)13が出力する基本クロック信号φに同期動作される。
【0029】
前記内部メモリ6はダイナミック・ランダム・アクセス・メモリ(DRAM)、スタティック・ランダム・アクセス・メモリ(SRAM)、または電気的に書き換え可能なフラッシュメモリのような不揮発性メモリである。前記キャッシュメモリ3は、命令キャッシュメモリ、データキャッシュメモリ、またはデータ・命令混在型のユニファイドキャッシュメモリの何れであっても良く、例えばSRAMによって構成される。
【0030】
前記周辺回路10はタイマやシリアル入出力回路等の適宜の回路によって構成される。前記周辺回路(第1の回路モジュール)11は、前記CPU2のアドレス空間には配置されていないローカルなデータバッファ等に用いられるローカルメモリ(第2の回路モジュール)12に接続され、このローカルメモリ12を利用して動作する回路である。図2の例ではローカルメモリ12は内部バス5とも非接続状態にされている。例えば周辺回路11がエラー訂正専用の演算回路であるとすると、ローカルメモリ12はエラー訂正処理用のデータバッファとして用いられる。
【0031】
マイクロコンピュータ1はテストの為にJTAGコントローラ14を有している。特に図示はしないが、マイクロコンピュータ1のパッケージは例えばBGAのような面実装タイプとされる。JTAGコントローラ14は、バウンダリスキャンによって実装基板と外部端子との接続状態をチェックするための制御機能を有し、少なくとも、IEEEE1149.1の規格に準拠した信号入出力機能を備える。更にJTAGコントローラ14は、詳細を後述する、各回路モジュールのセルフテストの為に外部との信号インタフェース機能を有する。テスト対象回路モジュールとJTAGコントローラ14との接続はテストパスとしてのスキャンパス20によって行われる。図2の例では、スキャンパス20を用いたテスト対象回路モジュールは、内部メモリ6、周辺回路10、割込みコントローラ7、キャッシュメモリ3、入出力ポート9、ローカルメモリ12、周辺回路11、及びバスステートコントローラ8とされる。
【0032】
図1には前記スキャンパスを用いたセルフテストに着目して前記マイクロコンピュータ1を示してある。前記内部バス5やキャッシュバス4との接続関係は図示を省略してある。
【0033】
図1においてスキャンパス20を用いたテスト対象回路モジュール(以下被テストモジュールとも称する)には便宜上21〜24の符号を付してある。複数個の被テストモジュール21〜24は、被テストモジュール21に代表されるように、テスト入力端子30、テスト出力端子31及びテスト制御端子32を、テスト用モジュールインタフェース端子として有する。前記スキャンパス20は、一方の被テストモジュールのテスト出力端子31を他方の被テストモジュールのテスト入力端子30に結合して、テスト信号チェーンをシリアル信号パスによって形成する。
【0034】
前記被テストモジュール21〜24は、被テストモジュール21に代表されるように、被テスト回路40、スキャンレジスタ(テストレジスタ回路)41及びテスト制御回路42を有する。前記テスト制御回路42にはテストパターン発生回路43及び圧縮回路44を採用してある。前記テストパターン発生回路43は前記スキャンレジスタ41に入力されたテストコマンド(テスト制御情報)53に基づいて前記被テスト回路40にテストパターン54を発生する。テストパターン発生回路43は、例えば図3に示されるように、乱数発生器430と、出力信号を帰還入力して+1する加算器431と、前記乱数発生器430の出力又は加算器431の出力を選択するマルチプレクサ432と、前記テストコマンドを解読して前記乱数発生器430、加算器431及びマルチプレクサ432の動作を制御する制御回路433とによって構成することができる。テストパターン発生回路43によるテストパターン発生動作は、セルフテスト指令信号52がイネーブルにされるのを待って開始される。
【0035】
前記圧縮回路44は、前記被テスト回路40の動作によって得られる信号55を圧縮してテスト結果データ(テスト結果の情報)56を生成し、前記スキャンレジスタ41に供給する。
【0036】
前記スキャンレジスタ41は、前記テスト入力端子30及びテスト出力端子31を介して前記スキャンパス20に結合され、スキャンパス制御クロック信号50によってビットシリアルにシフト動作を行なうシフトレジスタを主体に構成されている。更に、テストパターン発生回路43へのパラレル出力、パターン圧縮回路44からのパラレル入力も可能にされている。図4にはスキャンレジスタ41の詳細な一例が示されており、直列接続されたn個の記憶段410は、夫々入力ゲート411とラッチ回路412を有し、シリアル入力端子413とシリアル出力端子414からのシリアル入出力はスキャンパス制御クロック信号50のレベル変化に同期して順次行われ、パラレル出力端子415からのパラレル出力は各記憶段410の出力ノードから引き出され、パラレル入力端子416からのパラレル入力はテストパターン発生回路43によるテスト動作終了信号51がイネーブルレベルに変化されるタイミングで選択される。
【0037】
テストインタフェース回路の一例である前記JTAGコントローラ14は、マイクロコンピュータ1の外部と非同期でシリアルに情報の入出力を行うための外部インタフェース端子として、テストクロック端子TCK、テストモードセレクト端子TMS、テストリセット端子/TRST、テストデータ入力端子TDI、テストデータ出力端子TDOを有する。そして、それら5端子を用いてインタフェース制御を行なために、前記JTAGコントローラ14は、特に制限されないが、シフトレジスタ60、バイパスレジスタ61、マルチプレクサ62、命令レジスタ64、データレジスタ65、命令デコーダ66、TAPコントローラ67を有する。
【0038】
前記シフトレジスタ60は、テストデータ入力端子TDIに入力されるシリアルデータをTAPコントローラ767から出力された信号38に含まれているシフトクロックに同期してシリアル入力する。シリアル入力されたデータは、命令レジスタ64又はデータレジスタ65にパラレル入力される。何れを選択するかは制御信号68で決る。命令レジスタ64に供給された命令は、制御信号68の指示で命令デコーダ66にパラレルに供給される。命令デコーダ66はこれに供給された命令がセルフテスト開始コマンドのコードデータであるとき、前記セルフテスト指令信号52をイネーブルレベルにする。データレジスタ65に格納されたデータは、前記スキャンパス制御クロック信号50に同期してスキャンパス20に向けてシリアル出力される。また、データレジスタ65はスキャンパス制御クロック信号50に同期してスキャンパス20からデータをシリアル入力することができる。スキャンパス20からデータレジスタ65にシリアル入力されたデータはシフトレジスタ60にパラレル転送され、パラレル転送されたデータはシフトクロックに同期してシフトレジスタ60からシリアル出力される。バイパスレジスタ61はテストデータ入力端子TDIとテストデータ出力端子TDOを接続するための1ビットのレジスタである。マルチプレクサ62はシフトレジスタ60のシリアル出力又はバイパスレジスタ61の出力を選択してテストデータ出力端子TDOに与える。図1には図示を省略してあるが、バウンダリスキャンの為に、マイクロコンピュータ1の外部端子にはバウンダリスキャンセルと呼ばれるフリップフロップが付加され、全てのバウンダリスキャンセルを、テストデータ入力端子TDIから入りテストデータ出力端子TD0に出る1つのシフトレジスタ(バウンダリスキャンレジスタ)として機能させるように接続した構成も付加されている。
【0039】
テストデータ端子TDI,TDOを介する上記データ入出力動作はタップコントローラ67から出力される制御信号68で制御される。TAPコントローラ67は、状態遷移制御によって制御信号68を生成する、所謂ステートマシンとされる。即ち、テストモードセレクト端子TMSの論理値が現在の論理値に対して“1”又は“0”の何れに変化するかによって内部制御状態を、予め決められた状態遷移モデルに対して順次遷移させていく。換言すれば、現在のステートから次のステートに進む方向はテストモードセレクト端子TMSに与えられる信号の論理値によって決定される。順次遷移された個々の制御状態に応じて複数ビットの制御信号68の状態が決定される。
【0040】
図5にはJTAGコントローラ14による被テスト回路21〜24のテスト制御シーケンスの一例が示される。
【0041】
まず、スキャンパス20を介して被テストモジュール21〜24の各スキャンレジスタ41のテストコマンドをセットする(S1)。即ち、テストモードセレクト端子TMSの状態を変化させて、テストデータ入力端子TDIからシフトレジスタ60にテストコマンドを順次シリアル入力し、これをデータレジスタ65にパラレル転送した後、当該転送データのビット数分、スキャンパス制御クロック信号50に同期してデータレジスタ65からスキャンパス20にテストコマンドをシリアル出力する。この動作を、テストコマンドの設定が必要な全てのスキャンレジスタ41にテストコマンドがセットされるまで繰り返す。
【0042】
次に、テストモードセレクト端子TMSの状態を変化させて、テストデータ入力端子TDIからシフトレジスタ60にセルフテスト開始コマンドを順次シリアル入力し、これを命令レジスタ64にパラレル転送する。命令デコーダ66はそのセルフテスト開始コマンドをデコードして、各被テストモジュール21〜24にセルフテスト指令信号52を供給する(S2)。各被テストモジュール21〜24は、スキャンレジスタ41にセットされたテストコマンドに応じた内容でセルフテストを並列的に開始する(S3)。テスト動作によって得られたデータはパターン圧縮回路44で圧縮され、テストパターン発生回路43から出力されるテスト動作終了信号がイネーブルにされることを条件に、圧縮されたテスト結果データが対応するスキャンレジスタ41にロードされる。
【0043】
次に、テストモードセレクト端子TMSの状態を変化させて、スキャンレジスタのデータをスキャンパスから順次データレジスタ65にシリアル入力させ、シリアル入力されたデータをシフトレジスタ60、マルチプレクサ62を経由させてテストデータ出力端子TDOから外部に出力させる(S4)。
【0044】
以上、図2に基づいて説明したJTAG利用でセルフテスト可能なマイクロコンピュータ1によれば以下の作用効果を得ることができる。
【0045】
前記被テストモジュール21〜24のテストを行なうとき、外部からJTAGコントローラ14にテストコマンドを入力し、これをスキャンパス20を介して全ての被テストモジュール21〜24のスキャンパスにロードすることができる。この場合、全ての被テストモジュールに同じテストコマンドを与えることも、夫々に異なるテストコマンドを与えることも可能である。その後、制御端子32を介して各被テストモジュール21〜24にセルフテスト指令信号52が与えられることにより、被テストモジュール21〜24は夫々のテストコマンドに従ったテスト動作を並列に行なうことができる。テスト結果データ56が個々のスキャンレジスタ41に保持され、その後、テスト結果データがスキャンパス2を経由してJTAGコントローラ14からテストデータ出力端子TDOに出力される。このように、被テストモジュール21〜24のセルフテスト動作を並列化でき、マイクロコンピュータ1全体のデバイステスト時間を短縮できる。また、被テストモジュール21〜24へのテストコマンド53及びテスト結果データ56の入力及び出力を行なうJTAGコントローラ14を被テストモジュール21〜24に共通化することができるから、テストの為に必要な回路の論理的・物理的な規模の縮小にも寄与することができる。
【0046】
前記テスト制御回路42にテストパターン発生回路43及びパターン圧縮回路44を採用するから、JTAGコントローラ14からスキャンレジスタ41に送るテスト制御情報、そしてスキャンレジスタ41からJTAGコントローラ14に送るテスト結果データの各情報量を少なくすることができ、この点においても、テスト効率向上に寄与することができる。
【0047】
前記複数個の被テストモジュール21〜24の制御端子32を共通接続してセルフテスト指令信号52を与えるから、複数の被テストモジュールに並列的にテスト動作を指示できることはもとより、そのための指示信号数も最小限に抑えることができる。
【0048】
被テストモジュールの一つにキャッシュメモリ3やDRAMのような内部メモリ6を含む場合、テストに時間のかかるメモリテストの効率が向上し、デバイステストの効率向上という前記効果を最大限に引き出すことができる。ローカルメモリ12も被テストモジュールに含まれているから、共通バス5経由のテストが不可能なローカルメモリに対しても能率的にメモリテストを行なう事が可能になる。
【0049】
IEEE1149.1の規格に準拠した手順で信号入出力を行なうJTAGコントローラ14はバウンダリスキャンに用いられる。バウンダリスキャンに用いられるようなJTAGコントローラをデバイステストの為のコマンドやデータ入出力に流用するから、JTAGコントローラの有効利用、若しくはデバイステスト用の回路の規模を一層縮小するのに役立つ。
【0050】
図6には図1のマイクロコンピュータ1における被テストモジュール21を内部メモリ6、キャッシュメモリ3又はローカルメモリ12などのメモリとする場合が例示される。回路被テスト回路40は、メモリ回路400と欠陥ビット救済回路401を含む。メモリ回路400の詳細は特に図示はしないが、例えば、メモリセルの選択端子がワード線に、メモリセルのデータ端子がビット線に接続され、ワード線の選択をロウアドレスデコーダで行ない、ビット線の選択をカラムスイッチ回路とカラムデコーダで行ない、それらによって選択されたメモリセルはコモンデータ線などに導通され、データ読み出し動作ではコモンデータ線に読み出された記憶情報がメインアンプなどで増幅されて外部に出力され、書き込み動作では書き込みデータがコモンデータ線を介してメモリセルに与えられる。メモリセル、ビット線、ワード線などの欠陥を救済する為に冗長メモリセル、冗長ワード線又は冗長ビット線などが設けられている。欠陥メモリセルを冗長メモリセルに置きかえる場合、例えば当該欠陥メモリセルのワード線が選択されるとき、当該ワード線の選択を禁止し、これに代えて冗長ワード線を選択させる。このような欠陥部分の選択を冗長の選択に置きかえる為に欠陥救済回路401が設けられている。欠陥救済回路401には欠陥アドレスをプログラムしておくことで、当該プログラムされたアドレスのアクセスを検出したとき、前記欠陥を冗長に置き換える制御を行なう。そのような欠陥アドレスをプログラムするには電気ヒューズを用いることも可能であるが、ここでは電気的に書き込み可能な不揮発性記憶素子を用いる。例えば、フラッシュメモリに用いられるような、コントロールゲート、フローティングゲート、ソース、及びドレインを持つメモリセルトランジスタを採用することができる。このような不揮発性メモリセルに対する書き込み制御は、書き込み制御信号402によって行なうことができる。
【0051】
図7には図6のマイクロコンピュータに対するテスト制御シーケンスの一例が示される。
【0052】
まず、スキャンパス20を介して被テストモジュール21〜24の各スキャンレジスタ41にテストコマンドをセットする。特にメモリモジュールとされる被テストモジュール21に対しては、欠陥ビットの位置を特定可能な各種マーチングテストを実施させることができるテストコマンドを与える。(S10)。次に、セルフテスト開始コマンドを命令レジスタ64にセットする。命令デコーダ66はそのセルフテスト開始コマンドをデコードして、各被テストモジュール21〜24にセルフテスト指令信号52を供給する(S11)。各被テストモジュール21〜24は、スキャンレジスタ41にセットされたテストコマンドに応じた内容でセルフテストを並列的に開始する。テスト動作によって得られたデータはパターン圧縮回路44で圧縮され、テストパターン発生回路43から出力されるテスト動作終了信号がイネーブルにされることを条件に、圧縮されたテスト結果データが対応するスキャンレジスタ41にロードされる(S12)。
【0053】
次に、テストモードセレクト端子TMSの状態を変化させて、スキャンレジスタのデータをスキャンパスから順次データレジスタ65にシリアル入力させ、シリアル入力されたデータをシフトレジスタ60、マルチプレクサ62を経由させてテストデータ出力端子TDOから外部に出力させる。このとき、メモリモジュール21に欠陥があれば、指摘された欠陥アドレスを書き込み制御信号402によって欠陥ビット救済回路401にプログラムする(S13)。更に、その欠陥救済が有効であったかを検証する為に、再度、被テストモジュール21〜24の各スキャンレジスタ41に対するテストコマンドのセット(S14)、セルフテスト開始コマンドをセットして各被テストモジュール21〜24に対するセルフテスト指令(S15)、各被テストモジュール21〜24によるテストコマンドに応じた並列的なセルフテスト(S16)、テスト結果データの外部出力を(S17)を行なう。
【0054】
以上のように、欠陥救済を電気的に書き込み可能な不揮発性メモリセルを用いて行なうことができれば、マイクロコンピュータ1のテストで、デバイステストを行ない、これによって欠陥が検出されたときは、そのまま書き換え制御信号402を制御して、欠陥救済プログラムの処理まで、デバイステストの一環で行なうことができる。
【0055】
図8にはスキャンパスを2系統に分けたマイクロコンピュータの一例が示される。2系統に分けたスキャンパスは20A,20Bで示される。スキャンパス20Aには被テストモジュール21〜23が接続され、スキャンパス20Bには被テストモジュール24〜26が接続されている。これに応じてデータレジスタも、各スキャンパス20A,20B毎に、65A,65Bとして設けられている。スキャンパスを複数系統にすれば、スキャンパスを介する前記テストコマンドやテスト結果データの転送時間を更に短くすることが可能になる。その他の構成は図1と同様であるからその詳細な説明は省略する。
【0056】
図9にはスキャンレジスタをCPUによってアクセス可能にしたマイクロコンピュータが示される。図9において、被テストモジュール21のスキャンレジスタ41は内部バス5を介してCPU2からアクセス可能にされている。この構成によれば、スキャンレジスタ41はCPU2のアドレス空間に配置されることになり、CPU2がテストコマンドを発行して、被テストモジュール21をセルフテストすることが可能になる。このような構成の場合、デバイステストだけにしか利用されないスキャンレジスタ41がCPU2のメモリ空間の一部を占めることになるという不利益のあることに注意しなければならない。したがって、この構成は、システムに実装した後の、経時的変化による故障検出を特に行なわなければならないという要請がある場合に適用されるであろう。
【0057】
また、図9の例では、被テスト回路40に供給されるテスト用データは全てがテストパターン発生回路43から出力されなければならないことを意味せず、バス5或いは他の被テストモジュールから供給されても良い。このとき、それをセレクタ403で選択してセルフテストに利用するようにすればよい。セレクタ403に対する選択制御は、スキャンレジスタ41にロードされたテストコマンドにしたがって行なえばよい。
【0058】
次に、上述のマイクロコンピュータ1の設計を容易化するという観点より、上述した回路モジュール21の設計データ若しくはマイクロコンピュータ1それ自体の設計データを、所謂IPモジュールとして提供することについて説明する。
【0059】
IPモジュールとして提供する回路モジュールデータは、例えば図1の被テストモジュールを特定するデータであり、テスト入力端子30と、テスト出力端子31と、テスト制御端子32と、正規インタフェース端子と、前記正規インタフェース端子に接続された被テスト回路40と、前記テスト入力端子30から情報を入力し前記テスト出力端子31に情報を出力するスキャンレジスタ41と、前記被テスト回路40に対するテストの開始を前記制御端子32から受け、前記スキャンレジスタ41のテストコマンドを用いて前記テストを行ない、テスト結果データをスキャンレジスタに供給するテスト制御回路42と、を前記半導体チップに形成する為の図形パターンデータ若しくはHDLやRTLなどによる機能記述データを含む。図形パターンデータは、マスクパターンデータ或いは電子線描画データなどである。機能記述データは、所謂プログラムデータであり、所定の設計ツールに読み込むことによってシンボル表示で回路等を特定する事ができる。
【0060】
さらに、IPモジュールとして提供する回路モジュールデータは、例えば図1の被テスト回路40とテスト制御回路42を異なる回路モジュールデータとして提供するものであってもよい。この場合、複数の被テスト回路40の回路モジュールデータは、それぞれがテスト制御回路42との接続端子を有する事で、テスト制御回路42の回路モジュールデータを共通化する事ができる。このような提供形態を採ることにより、必要に応じて被テスト回路40にテスト制御回路42を組み合わせることが可能となり、また提供するIPモジュールデータのデータ量を削減する事が可能となる。なお、本段落は国内優先権主張に際して追加したものである。
【0061】
また、IPモジュールの規模は図1に例示されるマイクロコンピュータ1のようなLSIレベルであってもよい。このとき、前記回路モジュールデータを被テスト回路が異なる複数の回路モジュールに対して有する。更に、前記複数の回路モジュールが形成される半導体チップ上に、夫々の回路モジュールに対して、一方の回路モジュールのテスト出力端子31を他方の回路モジュールのテスト入力端子30に結合してテスト信号チェーンを構成するテストパス20を形成する為の図形パターンデータ若しくは機能記述データとしてのテストパスデータを有する。更に、前記テストコマンドを外部から前記スキャンレジスタ41に前記テストパス20を介して供給し、前記テスト結果データを前記スキャンレジスタ41から前記テストパス20を介して外部に出力するJTAGコントローラ14を前記半導体チップに形成する為の図形パターンデータ若しくは機能記述データとしてのテストインタフェース回路情報を更に含むことになる。
【0062】
それらIPモジュールのデータは、図10に例示されるように、半導体チップに形成されるべき集積回路を設計ツールのようなコンピュータ70を用いて設計するためのデータであって、前記コンピュータにより読取り可能にCD−ROM、DVD−ROM、磁気テープなどの記憶媒体71に記憶されて提供される。例え図1の被テストモジュール21に対応されるハードIPモジュールのデータは、前記被テストモジュール21を構成する為のマスクパターンデータD1、その被テストモジュール21の機能記述データD2、及び当該被テストモジュール21のIPモジュールのデータを適用してLSIを設計したとき、その他のモジュールとの関係を考慮したシミュレーションを可能にしたりする為の検証用データD3を有する。
【0063】
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
【0064】
例えば、半導体集積回路に内蔵される回路モジュールの種類は上記に限定されず適宜変更可能である。また、半導体集積回路はマイクロコンピュータに限定されず、プリンタ制御用、通信制御用、ディスクドライブ制御用などの、システムオンチップされたシステムLSIであってもよい。また、テストインタフェース回路は、テストモードセレクト端子TMSの信号を状態遷移指令として利用するシリアル入出力回路としてのJTAG準拠の回路に限定されず、その他のインタフェース形式の回路を採用してもよい。
【0065】
【発明の効果】
本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記の通りである。
【0066】
すなわち、本発明に係る半導体集積回路によれば、複数個の回路モジュールをテストするのに外部から供給すべきテストデータ及び外部に出力すべきテスト結果のデータの量を減らすことができ、しかも前記複数個の回路モジュールのテスト時間を短縮することができる。
【0067】
また、複数個の回路モジュールをテストする為に必要なテスト回路の規模を極力小さくすることができる。
【0068】
集積回路の設計データを格納した本発明に係るコンピュータ読取り可能な記録媒体によれば、テスト時間の短縮並びにテスト回路の規模の縮小を実現する半導体集積回路の設計を容易化することができる。
【図面の簡単な説明】
【図1】本発明の一例に係るマイクロコンピュータをスキャンパスを用いたセルフテストに着目して示したブロック図である。
【図2】本発明に係る半導体集積回路の一例であるマイクロコンピュータを全体的に示したブロック図である。
【図3】テストパターン発生回路の一例を示したブロック図である。
【図4】スキャンレジスタの一例を示したブロック図である。
【図5】JTAGコントローラによる被テスト回路のテスト制御シーケンスの一例を示すフローチャートである。
【図6】図1のマイクロコンピュータにおける被テストモジュールを内部メモリ、キャッシュメモリ又はローカルメモリなどのメモリとしたマイクロコンピュータのブロック図である。
【図7】図6のマイクロコンピュータに対するテスト制御シーケンスの一例を示すフローチャートである。
【図8】スキャンパスを2系統に分けたマイクロコンピュータの一例を示すブロック図である。
【図9】スキャンレジスタをCPUによってアクセス可能にしたマイクロコンピュータのブロック図である。
【図10】IPモジュールをデータをコンピュータ読取り可能に格納した記録媒体とコンピュータとを示す斜視図である。
【符号の説明】
1 マイクロコンピュータ
2 CPU
3 キャッシュメモリ
6 内部メモリ
5 内部バス
12 ローカルメモリ
14 JTAGコントローラ
20 スキャンパス
20A,20B スキャンパス
21〜24 被テストモジュール
30 テスト入力端子
31 テスト出力端子
32 テスト制御端子
40 被テスト回路
41 スキャンレジスタ
42 パターン圧縮回路
43 テストパターン発生回路
50 スキャンパス制御クロック信号
51 テスト動作終了信号
52 セルフテスト指令信号
53 テストコマンド
54 テストパターン
56 テスト結果データ
64 命令レジスタ
66 命令デコーダ
67 TAPコントローラ
70 コンピュータ
71 記憶媒体
TDI テストデータ入力端子
TDO テストデータ出力端子
TCK テストクロック端子
TMS テストモードセレクト端子

Claims (21)

  1. テスト入力端子、テスト出力端子及びテスト制御端子が設けられた複数個の回路モジュールと、一方の回路モジュールのテスト出力端子を他方の回路モジュールのテスト入力端子に結合してテスト信号チェーンを形成するテストパスと、テストパスに接続されたテストインタフェース回路と、を1個の半導体チップに含み、
    前記回路モジュールは、被テスト回路、テストレジスタ回路及びテスト制御回路を有し、
    前記テストレジスタ回路は前記テスト入力端子及びテスト出力端子を介してテストパスに結合され且つテスト制御回路との間で入出力可能にされ、
    前記テスト制御回路は被テスト回路に対するテストの開始を前記制御端子から受け、前記テストレジスタ回路のテスト制御情報を用いて前記テストを行ない、テスト結果の情報をテストレジスタ回路に供給するものであり、
    前記テストインタフェース回路は、前記テスト制御情報を外部から前記テストレジスタ回路にテストパスを介して供給し、前記テスト結果の情報を前記テストレジスタ回路から前記テストパスを介して外部に出力するものであることを特徴とする半導体集積回路。
  2. 前記テスト制御回路は、テストパターン発生回路及び圧縮回路を有し、前記テストパターン発生回路は前記テストレジスタ回路に入力されたテスト制御情報に基づいて前記被テスト回路にテストパターンを発生し、前記圧縮回路は、前記被テスト回路の動作結果を圧縮して前記テスト結果の情報を生成し、前記テストレジスタ回路に供給するものであることを特徴とする請求項1記載の半導体集積回路。
  3. 前記複数個の回路モジュールの制御端子は共通接続されて前記テストインタフェース回路に結合されて成るものであることを特徴とする請求項1又は2記載の半導体集積回路。
  4. 前記テストパスは、前記テストインタフェース回路を基点に前記複数個のテストレジスタ回路を直列的に接続し、前記テストインタフェース回路に帰還するスキャンパスを構成するものであることを特徴とする請求項1乃至3の何れか1項記載の半導体集積回路。
  5. 前記テストレジスタ回路は、前記テスト入力端子に接続されたシリアル入力端子、前記テスト出力端子に接続されたシリアル出力端子、前記パターン発生回路に接続されたパラレル出力端子、前記圧縮回路に接続されたパラレル入力端子を有する、複数ビットのシフトレジスタであることを特徴とする請求項2記載の半導体集積回路。
  6. 前記テストパスは、前記テストレジスタ回路を直列的に接続した経路を複数系統有して成るものであることを特徴とする請求項4又は5記載の半導体集積回路。
  7. 前記テスト制御情報はテスト動作内容を指示するテストコマンドであることを特徴とする請求項1又は2記載の半導体集積回路。
  8. 前記複数個の回路モジュールの一つはキャッシュメモリであることを特徴とする請求項1乃至7の何れか1項記載の半導体集積回路。
  9. 前記複数個の回路モジュールの一つはダイナミック・ランダム・アクセス・メモリであることを特徴とする請求項1乃至7の何れか1項記載の半導体集積回路。
  10. 前記複数個の回路モジュールは、共通バスに接続された第1の回路モジュールと、前記第1の回路モジュールに接続され前記共通バスとは非接続の第2の回路モジュールとを含んで成るものであることを特徴とする請求項1乃至7の何れか1項記載の半導体集積回路。
  11. 前記第2の回路モジュールはローカルメモリであることを特徴とする請求項10記載の半導体集積回路。
  12. 前記テストインタフェース回路は、外部端子としてクロック端子、モード端子、データ入力端子、及びデータ出力端子を有し、前記モード端子を介して第1の動作モードが指定されたとき、前記データ入力端子に供給される情報を取り込み、取り込んだ情報を前記テストパスに送り出し、前記モード端子を介して第2の動作モードが指定されたとき、前記データ入力端子に供給される情報を取り込み、取り込んだ情報をデコードして前記テスト制御端子に向けて制御信号を出力し、前記モード端子を介して第3の動作モードが指定されたとき、前記テストパスを通してテストレジスタ回路の情報を取り込んで、前記データ出力端子から外部に出力するものであることを特徴とする請求項4乃至6の何れか1項記載の半導体集積回路。
  13. 前記テストインタフェース回路はIEEE1149.1の規格に準拠した手順で信号入出力を行なうものであることを特徴とする請求項12記載の半導体集積回路。
  14. 半導体チップに形成されるべき集積回路をコンピュータを用いて設計するための回路モジュールデータが前記コンピュータにより読取り可能に記憶された記憶媒体であって、前記記憶媒体に記憶された回路モジュールデータは、
    テスト入力端子と、テスト出力端子と、テスト制御端子と、正規インタフェース端子と、前記正規インタフェース端子に接続された被テスト回路と、前記テスト入力端子から情報を入力し前記テスト出力端子に情報を出力するテストレジスタ回路と、前記被テスト回路に対するテストの開始を前記制御端子から受け、前記テストレジスタ回路のテスト制御情報を用いて前記テストを行ない、テスト結果の情報をテストレジスタ回路に供給するテスト制御回路と、を前記半導体チップに形成する為の図形パターンデータ若しくは機能記述データを含むことを特徴とする記憶媒体。
  15. 前記テスト制御回路は、テストパターン発生回路及び圧縮回路を有し、前記テストパターン発生回路は前記テストレジスタ回路に入力されたテスト制御情報に基づいて前記被テスト回路にテストパターンを発生し、前記圧縮回路は、前記被テスト回路の動作結果を圧縮して前記テスト結果の情報を生成し、前記テストレジスタ回路に供給するものであることを特徴とする請求項14記載の記憶媒体。
  16. 前記テストレジスタ回路は、前記テスト入力端子に接続されたシリアル入力端子、前記テスト出力端子に接続されたシリアル出力端子、前記パターン発生回路に接続されたパラレル出力端子、前記圧縮回路に接続されたパラレル入力端子を有する、複数ビットのシフトレジスタであることを特徴とする請求項15記載の記憶媒体。
  17. 前記回路モジュールはキャッシュメモリであることを特徴とする請求項16項記載の記憶媒体。
  18. 前記回路モジュールはダイナミック・ランダム・アクセス・メモリであることを特徴とする請求項16記載の記憶媒体。
  19. 請求項14記載の回路モジュールデータを被テスト回路が異なる複数の回路モジュールに対して有すると共に、
    前記複数の回路モジュールが形成される半導体チップ上に、夫々の回路モジュールに対して、一方の回路モジュールのテスト出力端子を他方の回路モジュールのテスト入力端子に結合してテスト信号チェーンを構成するテストパスを形成する為の図形パターンデータ若しくは機能記述データとしてのテストパスデータをコンピュータ読取り可能に有することを特徴とする記憶媒体。
  20. 前記テスト制御情報を外部から前記テストレジスタ回路に前記テストパスを介して供給し、前記テスト結果の情報を前記テストレジスタ回路から前記テストパスを介して外部に出力するテストインタフェース回路を前記半導体チップに形成する為の図形パターンデータ若しくは機能記述データとしてのテストインタフェース回路情報を更に含むことを特徴とする請求項19記載の記憶媒体。
  21. 半導体チップに形成されるべき集積回路をコンピュータを用いて設計するための回路モジュールデータが前記コンピュータにより読取り可能に記憶された記憶媒体であって、前記記憶媒体に記憶された回路モジュールデータは、
    テスト入力端子と、テスト出力端子と、テスト制御端子と、被テスト回路と接続される正規インタフェース端子と、前記テスト入力端子から情報を入力し前記テスト出力端子に情報を出力するテストレジスタ回路と、前記被テスト回路に対するテストの開始を前記制御端子から受け、前記テストレジスタ回路のテスト制御情報を用いて前記テストを行ない、テスト結果の情報をテストレジスタ回路に供給するテスト制御回路と、を前記半導体チップに形成する為の図形パターンデータ若しくは機能記述データを含むことを特徴とする記憶媒体。
JP2000206028A 1999-07-28 2000-07-07 半導体集積回路及び記録媒体 Expired - Fee Related JP4176944B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000206028A JP4176944B2 (ja) 1999-07-28 2000-07-07 半導体集積回路及び記録媒体

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP21316599 1999-07-28
JP11-213165 1999-07-28
JP2000206028A JP4176944B2 (ja) 1999-07-28 2000-07-07 半導体集積回路及び記録媒体

Publications (2)

Publication Number Publication Date
JP2001099896A JP2001099896A (ja) 2001-04-13
JP4176944B2 true JP4176944B2 (ja) 2008-11-05

Family

ID=26519643

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000206028A Expired - Fee Related JP4176944B2 (ja) 1999-07-28 2000-07-07 半導体集積回路及び記録媒体

Country Status (1)

Country Link
JP (1) JP4176944B2 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002286803A (ja) * 2001-03-23 2002-10-03 Sony Corp 半導体集積回路
JP4682077B2 (ja) * 2006-03-30 2011-05-11 株式会社リコー 半導体集積回路
JP2009048669A (ja) * 2007-08-13 2009-03-05 Toshiba Corp 半導体記憶装置
JP5743055B2 (ja) * 2010-12-16 2015-07-01 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置
CN108267681B (zh) * 2016-12-30 2020-07-17 上海复旦微电子集团股份有限公司 一种可编程电路的模块测试系统

Also Published As

Publication number Publication date
JP2001099896A (ja) 2001-04-13

Similar Documents

Publication Publication Date Title
KR100714240B1 (ko) 반도체 집적회로 및 기록매체
US7313739B2 (en) Method and apparatus for testing embedded cores
US6436741B2 (en) Semiconductor integrated circuit device
US6574762B1 (en) Use of a scan chain for configuration of BIST unit operation
US7653845B2 (en) Test algorithm selection in memory built-in self test controller
US6101457A (en) Test access port
US7225379B2 (en) Circuit and method for testing semiconductor device
US20040006729A1 (en) Hierarchical test methodology for multi-core chips
JP4354051B2 (ja) 接続性テストシステム
US20060184848A1 (en) Semiconductor integrated circuit having test function and manufacturing method
JPH0773696A (ja) セルフ・タイム式メモリ・アレイ及びそれをテストする方法
JP2003294813A (ja) 組込み自己テスト回路及び設計検証方法
US6862704B1 (en) Apparatus and method for testing memory in a microprocessor
US7447962B2 (en) JTAG interface using existing I/O bus
US7152194B2 (en) Method and circuit for scan testing latch based random access memory
JP4176944B2 (ja) 半導体集積回路及び記録媒体
CN113994434B (zh) 使用jtag单元寻址的直接存储器存取
JP2018190751A (ja) 半導体装置および半導体装置のテスト方法
JP2003224468A (ja) 半導体集積回路および製造方法並びにテスト方法
Cheng Comprehensive study on designing memory BIST: algorithms, implementations and trade-offs
US20210335435A1 (en) Jtag based architecture allowing multi-core operation
JP5158087B2 (ja) 半導体集積回路装置および半導体集積回路装置の試験方法
US20060069974A1 (en) One-hot encoded instruction register for boundary scan test compliant devices
Zarrineh et al. Self test architecture for testing complex memory structures
KR100769041B1 (ko) 테스트를 위한 집적회로 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040304

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070828

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080805

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080821

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110829

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110829

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110829

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees