JP4308314B2 - パターン化された基板上にルテニウム金属層を形成する方法 - Google Patents

パターン化された基板上にルテニウム金属層を形成する方法 Download PDF

Info

Publication number
JP4308314B2
JP4308314B2 JP2008501891A JP2008501891A JP4308314B2 JP 4308314 B2 JP4308314 B2 JP 4308314B2 JP 2008501891 A JP2008501891 A JP 2008501891A JP 2008501891 A JP2008501891 A JP 2008501891A JP 4308314 B2 JP4308314 B2 JP 4308314B2
Authority
JP
Japan
Prior art keywords
ruthenium
substrate
metal layer
gas
vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008501891A
Other languages
English (en)
Other versions
JP2008538126A5 (ja
JP2008538126A (ja
Inventor
司 松田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008538126A publication Critical patent/JP2008538126A/ja
Publication of JP2008538126A5 publication Critical patent/JP2008538126A5/ja
Application granted granted Critical
Publication of JP4308314B2 publication Critical patent/JP4308314B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、半導体処理プロセスに関し、特に、パターン化された基板上に薄膜ルテニウム金属層を形成する方法に関する。
集積回路を製作する際に、多層金属化方式で銅(Cu)金属を導入する場合、Cu層の密着および成長を促進し、Cuの誘電体材料への拡散を抑制する、拡散バリア/ライナの使用が不可欠である。誘電体材料上に成膜されるバリア/ライナには、タングステン(W)、モリブデン(Mo)、およびタンタル(Ta)のような耐熱材料が含まれ、これらの材料は、Cuとの間に反応性がなく、Cu中に不混和性であり、低い電気抵抗率を得ることができる。Cu金属化層および誘電体材料が集積される現在の集積方式では、約400℃から約500℃、またはこれ以下の基板温度での、バリア/ライナの成膜処理が必要となる。
例えば、130nm以下の技術的ノードのCu集積方式では、低誘電率(低k)の層間絶縁誘電体が利用され、その後、物理気相成膜法(PVD)によるTa層またはTaN/Ta層、PVDのCuシード層、および電気化学成膜法(ECD)によるCu充填が利用される。通常の場合、その密着特性(すなわち、低k膜上での密着性)のため、Ta層が選定され、そのバリア特性(すなわち、低k膜へのCu拡散防止能)のため、Ta/TaN層が選定される。
前述のように、Cu拡散バリアとしての薄膜遷移金属層の研究および実施のため、相当の努力が成されており、そのようなCu拡散バリアには、クロム、タンタル、モリブデン、およびタングステンのような材料が含まれる。これらの各材料は、Cu中で低い混和性を示す。また近年、他の材料、例えばルテニウム(Ru)およびロジウム(Rh)が、可能性のあるバリア層として提案されている。これらは、従来の耐熱金属と同様に振る舞うことが期待されるからである。しかしながら、Ta/TaNのような2つの層とは対照的に、RuまたはRhの使用は、一つのバリア層のみの使用に限られる。例えば、Ru層は、Ta/TaNバリア層と置換することができる。また、現在の研究では、さらにCuシード層は、Ru層で置換することができ、バルクCuの充填は、Ru成膜後に直接実施できることが明らかとなっている。
Ru層は、ルテニウム含有前駆体、例えばルテニウムカルボニル前駆体またはルテニウム有機金属前駆体から成膜することができる。しかしながら、ルテニウム前駆体の多くは、低蒸気圧であり、これに関連した輸送の問題のため、Ruの成膜処理プロセスは、成膜速度が遅く、このため、高アスペクト比特徴物への成膜によって、良好なステップ被覆率が得られるとしても、Ru層の成膜は、現実的ではない。一方、製作の際に高い成膜速度を有するRu成膜処理プロセスでは、高アスペクト比特徴物に対して、許容できないステップ被覆率が生じるという問題がある。以上のことを踏まえて、発明者らは、高アスペクト比特徴物に対して、高い成膜速度で良好なステップ被覆率が得られる、新たなRu成膜処理プロセスが必要であると認識している。
本発明では、原子層成膜(ALD)処理プロセスに関して、良好な共形性およびステップ被覆率を有する方法が提供され、高アスペクト比特徴物へのRu金属層の成膜の際に、化学気相成膜(CVD)処理プロセスによる高い成膜速度が得られる。本発明の実施例では、バリアおよびシードに適用される、Cu金属化技術のRuシード層およびRu拡散バリアを含む、Ru金属層の成膜が可能となる。
従って、本発明の方法は、
成膜システムの処理チャンバ内に、パターン化された基板を提供するステップであって、前記パターン化された基板は、1もしくは2以上のビアもしくは溝またはこれらの組み合わせを有するステップと、
原子層成膜処理法で、前記基板上に、第1のルテニウム金属層を成膜するステップと、
熱化学気相成膜処理法で、前記第1のルテニウム金属層の上部に、第2のルテニウム金属層を成膜するステップと、
を有する。
本発明の実施例では、当該方法は、
成膜システムの処理チャンバ内に、パターン化された基板を提供するステップであって、前記パターン化された基板は、1もしくは2以上のビアもしくは溝またはこれらの組み合わせを有するステップと、
プラズマ中で、a)ルテニウム有機金属前駆体、およびb)水素含有ガスに、前記基板を交互に暴露するステップを有するプラズマ加速原子層成膜処理法で、前記基板上に、第1のルテニウム金属層を成膜するステップと、
熱化学気相成膜処理法において、ルテニウム有機金属前駆体および水素含有ガスに、前記基板を同時に暴露することにより、前記第1のルテニウム金属層上に、第2のルテニウム金属層を成膜するステップと、
を有する。
本発明の別の実施例では、当該方法は、
成膜システムの処理チャンバ内に、パターン化された基板を提供するステップであって、前記パターン化された基板は、1もしくは2以上のビアもしくは溝またはこれらの組み合わせを有するステップと、
原子層成膜処理法で、前記基板上に、第1のルテニウム金属層を成膜するステップであって、前記原子層成膜処理法は、a)ルテニウム有機金属前駆体、およびb)水素含有ガスに、前記基板を交互に暴露する、熱原子層成膜処理法を有するステップと、
熱化学気相成膜処理法において、ルテニウム有機金属前駆体および水素含有ガスに、前記基板を暴露することにより、前記第1のルテニウム金属層上に、第2のルテニウム金属層を成膜するステップと、
を有する。
本発明の実施例では、第1および第2のルテニウム金属層を含む、ルテニウム金属層を形成するための成膜方法が提供される。この成膜方法では、ALD処理プロセスの良好なステップ被覆率および良好な共形性と、CVD処理プロセスの高い成膜速度とを組み合わせることにより、ビア、溝またはこれらの組み合わせを含む基板特徴物上に、所望の特性を備えるルテニウム金属層を形成するための製造プロセスが提供される。
以下の記載では、本発明をより良く理解するため、および限定的ではない説明のため、成膜システムの特定の形状および各種部材の記載などについて、特定の細部が示されている。しかしながら、本発明は、これらの特定の細部とは異なる他の実施例においても、実施し得ることに留意する必要がある。
ここで図面を参照すると、いくつかの図面において、同様の参照符号は、同一のまたは対応する部品を表す。図1には、本発明の実施例による、基板上に、ルテニウム有機金属前駆体からRu金属層を成膜する成膜システム1を示す。成膜システム1は、基板ホルダ20を有する処理チャンバ10を有し、この基板ホルダは、金属層が上部に形成された基板25を支持するように構成される。処理チャンバ10は、蒸気前駆体供給システム40を介して、金属前駆体蒸発システム50に接続されている。
さらに処理チャンバ10は、ダクト36を介して、真空排気システム38に接続されており、排気システム38は、処理チャンバ10、蒸気供給システム40、および金属前駆体蒸発システム50を、基板25上に金属層を形成するのに適した圧力まで減圧処理し、さらには、金属前駆体蒸発システム50内のルテニウム有機金属前駆体52の揮発に適した圧力まで減圧処理するように構成される。
再度図1を参照すると、金属前駆体蒸発システム50は、ルテニウム有機金属前駆体52を保管し、ルテニウム有機金属前駆体52を、ルテニウム有機金属前駆体52の揮発に十分な温度にまで加熱し、ルテニウム有機金属前駆体の蒸気を蒸気前駆体供給システム40に導入するように構成されている。ルテニウム有機金属前駆体52は、選定された熱処理条件下では、金属前駆体蒸発システム50内で固体であっても良い。あるいは、ルテニウム有機金属前駆体52は、液体とすることもできる。以下、固体のルテニウム有機金属前駆体52を使用した場合について説明するが、本発明の範囲から逸脱しないで、選定された熱処理条件下で、液体のルテニウム有機金属前駆体が使用できることは、当業者には明らかである。特に、液体前駆体源、液体マスフロー制御器、および気化器を含む液体供給システムは、従来から良く知られている。
本発明の実施例では、ルテニウム有機金属前駆体は、液体前駆体の(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、Ru(DMPD)(EtCp)であっても良い。本発明の別の実施例では、ルテニウム前駆体は、固体前駆体のビス(2,4−ジメチルペンタジエニル)ルテニウム、Ru(DMPD)であっても良い。本発明の別の実施例では、ルテニウム前駆体は、液体前駆体の(2,4−ジメチルペンタジエニル)(メチルシクロペンタジエニル)ルテニウムであっても良い。これらの3つの前駆体は、75℃での蒸気圧が約0.1Torrである。本発明は、前述の前駆体に限られるものではなく、ビス(エチルシクロペンタジエニル)ルテニウム、Ru(EtCp)液体前駆体ならびにこれらのおよび他の前駆体を含む、他のルテニウム有機金属前駆体を使用しても良い。
ルテニウム有機金属前駆体52を揮発(または昇華)させる所望の温度を得るため、金属前駆体蒸発システム50は、蒸発温度制御システム54に接続され、この蒸発温度制御システム54は、蒸気温度を制御するように構成される。例えば、ルテニウム有機金属前駆体52の温度は、約30℃から約150℃の間の温度まで加熱される。ルテニウム有機金属前駆体は、加熱により揮発(または昇華)し、ルテニウム有機金属前駆体52、またはこのいかなる組み合わせは、キャリアガスにより搬送される。あるいは、他の実施例では、キャリアガスが省略される。キャリアガスを使用する場合、このキャリアガスには、例えば、希ガス(すなわち、He、Ne、Ar、KrまたはXe)のような不活性ガス、またはこれらの2もしくは3種類以上の組み合わせが含まれる。
例えば、ガス供給システム60は、金属前駆体蒸発システム50に接続され、キャリアガス、水素含有ガス、またはこれらの混合ガスを、供給ライン61を介して、ルテニウム有機金属前駆体52の下側に、あるいは供給ライン62を介して、ルテニウム有機金属前駆体52の上部に、供給するように構成される。また、別の実施例では、ガス供給システム60は、金属前駆体蒸発システム50の下流の蒸気前駆体供給システム40に接続され、ルテニウム有機金属前駆体の蒸気が蒸気前駆体供給システム40に導入された際、またはその後に、供給ライン63を介して、ルテニウム有機金属前駆体52の蒸気にガスが供給される。図示されていないが、ガス供給システム60は、キャリアガス源、水素含有ガス源、1または2以上の制御バルブ、1または2以上のフィルタ、およびマスフロー制御器を有する。例えば、キャリアガスおよび水素含有ガスの流速は、約0.1標準立方センチメートル/分(sccm)から約1000sccmの間である。あるいは、流速は、約10sccmから約500sccmの間である。あるいは、流速は、約50sccmから約200sccmの間であっても良い。本発明の実施例では、水素含有ガスは、H、NH、またはこれらの組み合わせであっても良い。
金属前駆体蒸発システム50の下流には、蒸気前駆体供給システム40を介して、ルテニウム有機金属前駆体蒸気を含むプロセスガスが流れ、このプロセスガスは、蒸気前駆体供給システム40に接続された蒸気分配システム30を介して、処理チャンバ10に導入される。蒸気前駆体供給システム40は、蒸気ラインの温度を制御する蒸気ライン温度制御システム42に接続され、ルテニウム有機金属前駆体蒸気の分解およびルテニウム有機金属前駆体蒸気の凝縮が防止される。
再度図1を参照すると、処理チャンバ10の一部を構成し、処理チャンバ10に接続された蒸気分配システム30は、蒸気分配プレナム32を有し、蒸気が蒸気分配板34、および基板25の上部の処理ゾーン33を通る前に、このプレナムの内部で蒸気が分配される。また、蒸気分配板34は、分配板温度制御システム35に接続され、このシステムは、蒸気分配板34の温度を制御するように構成される。
本発明の実施例では、処理チャンバ10に気体源37が接続され、この気体源37は、ルテニウム有機金属前駆体蒸気を含むプロセスガスに、水素含有ガス、希釈ガス、またはこれらの混合ガスを添加するように構成される。図1に示すように、気体源37は、供給ライン37aを介して、蒸気分配システム30に接続され、プロセスガスが蒸気分配板34を通って処理ゾーン33に入る前に、蒸気分配プレナム32内で、プロセスガスに水素含有ガス/希釈ガスを添加するように構成されている。あるいは、気体源37は、供給ライン37bを介して処理チャンバ10に接続され、プロセスガスが蒸気分配板34を通った後に、基板25の上部の処理ゾーン33内で、プロセスガスに水素含有ガス/希釈ガスを添加するように構成されても良い。あるいはまた、気体源37は、供給ライン37cを介して蒸気分配システム30に接続され、分配板34内でプロセスガスに水素含有ガス/希釈ガスを添加するように構成されても良い。当業者には明らかなように、本発明の範囲から逸脱しないで、気体源37からの水素含有ガス/希釈ガスは、蒸気分配システム30および処理チャンバ10の他の位置で、プロセスガスに添加されても良い。
再度図1を参照すると、成膜システム1は、処理チャンバ10の処理領域33でのプラズマ発生が容易になるように構成されている。プラズマは、RFプラズマ源により生じ、このプラズマ源は、蒸気分配板34(上部電極)を有し、これへのRF電力は、インピーダンスマッチネットワーク70を介して、RF発生器72から供給される。蒸気分配板34にRF電力を印加する際の周波数は、10MHzから200MHzの範囲にすることができ、60MHzであっても良い。蒸気分配板34に印加されるRF電力は、約500ワット(W)から約2200Wの間であっても良い。さらにRFプラズマ源は、基板25をビアス化するため、基板ホルダ20にRF電力を印加するRF源を有する。RF源は、RF発生器76と、インピーダンスマッチネットワーク74とを有し、このインピーダンスマッチネットワーク74によって、反射電力を最小限に抑制することにより、処理領域33に対する、RF電力のプラズマへの変換が最大化される。マッチネットワークの形態(例えば、L型、π型、T型)、および自動制御法は、良く知られた技術である。基板ホルダ20への電力印加の周半数は、0.1MHzから30MHzの範囲とすることができ、2MHzであっても良い。基板ホルダ20に印加されるRF電力は、約0Wから約1000Wの間の範囲である。また、制御器80は、RF発生器72および76、ならびにインピーダンスマッチネットワーク70および74に接続され、蒸気分配板34および基板ホルダ20へのRF電力の印加が制御される。別の実施例では、RF電力は、複数の周波数で、基板ホルダ20に印加されても良い。
一度、ルテニウム有機金属前駆体蒸気を含むプロセスガスが、処理チャンバ10の処理ゾーン33に導入されると、ルテニウム有機金属前駆体蒸気は、加熱された基板10の方に曝される。基板ホルダ20は、基板温度制御システム22に接続された該基板ホルダ20により、基板25の温度が上昇するように構成される。例えば、基板温度制御システム22は、基板25の温度を約500℃まで昇温するように構成される。あるいは、処理チャンバ10は、チャンバ壁の温度を制御するように構成された、チャンバ温度制御システム12に接続されても良い。
再度図1を参照すると、成膜システム1は、該成膜システム1の動作を操作し制御するように構成された制御システム80を有する。制御システム80は、RF発生器72、76および前述のマッチネットワーク70、74に接続されるとともに、処理チャンバ10、基板ホルダ20、基板温度制御システム22、チャンバ温度制御システム12、蒸気分配システム30、蒸気前駆体供給システム40、金属前駆体蒸発システム50、分配板温度制御システム35、およびガス供給システム60に接続される。さらに制御システム80は、ALD処理プロセスの間の迅速なガス切り替えを容易にするガスバルブ(図示されていない)と接続されている。
別の実施例として、図2には、基板上に、ルテニウム膜のような金属膜を成膜する、成膜システム100が示されている。成膜システム100は、基板ホルダ120を有する処理チャンバ110を有し、この基板ホルダ120は、上部に金属層が形成された基板125を支持するように構成されている。処理チャンバ110は、前駆体供給システム105と接続され、このシステムは、金属前駆体蒸発システム150と、蒸気前駆体供給システム140と、を有し、この金属前駆体蒸発システム150は、ルテニウム有機金属前駆体152を保管し揮発させるように構成され、蒸気前駆体供給システム140は、ルテニウム有機金属前駆体152の蒸気を処理チャンバ110に搬送するように構成される。
処理チャンバ110は、上部チャンバ区画111と、底部チャンバ区画112と、排気チャンバ113とを有する。底部チャンバ区画112には、開口114が形成され、この開口位置で、底部区画112は、排気チャンバ113と接続される。
再度図2を参照すると、基板ホルダ120は、被処理基板(またはウェハ)125を支持するための水平表面を提供する。この基板ホルダ120は、円筒状支持部材122によって支持され、この部材は、排気チャンバ113の下側部分から上方に延在している。また、基板ホルダ120は、基板ホルダ温度制御システム128に接続されたヒータ126を有する。ヒータ126は、例えば、1または2以上の抵抗ヒータ素子を含む。あるいは、ヒータ126は、例えば、タングステンハロゲンランプのような放射加熱システムを有する。基板ホルダ温度制御システム128は、1もしくは2以上の加熱素子、基板もしくは基板ホルダの温度を測定する1もしくは2以上の温度センサ、または両方に電力を供給する電源と、基板125もしくは基板ホルダ120の温度のモニター、調整、または制御のうち少なくとも一つを行う制御器とを有する。
処理の間、加熱された基板125は、ルテニウム有機金属前駆体蒸気に曝され、基板125上にルテニウム金属層が成膜される。基板ホルダ120は、所望のルテニウム金属層を基板125上に成膜することに適した予備処理温度に加熱される。あるいは、チャンバ温度制御システム121と接続されたヒータ(図示されていない)が、処理チャンバ110の壁に埋設され、これにより、チャンバ壁が予備処理温度まで加熱されても良い。ヒータは、処理チャンバ110の壁の温度を、約40℃から約100℃の範囲に保持し、あるいは約40℃から約80℃の範囲に保持する。圧力ゲージ(図示されていない)を用いて、処理チャンバの圧力を測定しても良い。
また図2では、処理チャンバ110の上部チャンバ区画111には、蒸気分配システム130が接続されている。蒸気分配システム130は、蒸気分配板131を有し、この蒸気分配板は、1または2以上のオリフィス134を介して、前駆体蒸気を蒸気分配プレナム132から、基板125の上部の処理ゾーン133の方まで導入するように構成される。
本発明の実施例では、気体源137は、処理チャンバ110と接続され、供給ライン137a、137bおよび/または137c、バルブ197、1または2以上のフィルタ(図示されていない)、ならびにマスフロー制御器を介して、テニウム有機金属前駆体蒸気を含むプロセスガスに、水素含有ガス、希釈ガスまたはこれらの組み合わせを添加するように構成されている。図1に示したように、気体源137は、処理チャンバ110の蒸気分配システム130に接続されても良く、この場合、プロセスガスは、蒸気分配板131を介して、基板125の上部の処理ゾーン133に至る前に、供給ライン137aを介して、蒸気分配プレナム132内で、プロセスガスに水素含有ガス/希釈ガスを添加するように構成される。あるいは、気体源137は、供給ライン137cを介して、蒸気分配板131の内部で、プロセスガスに水素含有ガス/希釈ガスを添加するように構成されても良い。あるいは、気体源137は、処理チャンバ110と接続され、プロセスガスが蒸気分配板131を通過してから、供給ライン137bを介して、処理ゾーン133内で、プロセスガスに水素含有ガス/希釈ガスを添加するように構成されても良い。当業者には明らかなように、水素含有ガス/希釈ガスは、本発明の範囲から逸脱しないで、処理チャンバ110内の他の位置で、プロセスガスに添加されても良い。
また、上部チャンバ区画111には、蒸気前駆体供給システム140から、蒸気分配プレナム132に、ルテニウム有機金属前駆体蒸気を導入するための開口135が設けられている。さらに、蒸気分配システム130の温度を制御するため、同心流体チャネルのような、冷却または加熱流体を流すように構成された温度制御素子136が提供され、これにより、蒸気分配システム130内でのルテニウム有機金属前駆体の分解または凝縮が回避される。例えば、水のような流体を、蒸気分配温度制御システム138から流体チャネルに供給しても良い。蒸気分配温度制御システム138は、流体源、熱交換器、流体温度または蒸気分配板温度またはその両方の測定用の1または2以上の温度センサ、および制御器を有し、この制御器は、蒸気分配板131の温度を約20℃から約150℃に制御するように構成される。
図2に示すように、金属前駆体蒸発システム150は、ルテニウム有機金属前駆体152を保持し、ルテニウム有機金属前駆体の温度を上昇させることにより、ルテニウム有機金属前駆体152を揮発させるように構成される。ルテニウム有機金属前駆体152を加熱するため、前駆体ヒータ154が設けられ、ルテニウム有機金属前駆体152は、ルテニウム有機金属前駆体152の所望の蒸気圧が生じる温度に維持される。前駆体ヒータ154は、ルテニウム有機金属前駆体152の温度を制御するように構成された、蒸発温度制御システム156と接続される。例えば、前駆体ヒータ154は、ルテニウム有機金属前駆体152の温度を、約40℃から約150℃に、または約60℃から約90℃に調整するように構成される。
ルテニウム有機金属前駆体152が加熱され、揮発(または昇華)が生じると、ルテニウム有機金属前駆体152またはこれらのいかなる組み合わせに、キャリアガス、水素含有ガスまたはこれらの混合ガスが流される。キャリアガスには、例えば、希ガス(すなわち、He、Ne、Ar、Kr、Xe)のような不活性ガスが含まれる。あるいは、他の実施例では、キャリアガスは使用されなくても良い。本発明の実施例では、キャリアガスに反応ガスが添加されても良い。あるいは、他の実施例では、キャリアガスが反応ガスと置換される。例えば、ガス供給システム160は、金属前駆体蒸発システム150と接続され、これは、例えば、キャリアガス、水素含有ガス、またはその両方を、ルテニウム有機金属前駆体152の方に流すように構成される。図2には示されていないが、これに加えてまたはこれとは別に、ガス供給システム160は、蒸気前駆体供給システム140と接続され、金属前駆体の蒸気が蒸気前駆体供給システム140に導入された際、またはその後、金属前駆体152の蒸気に、キャリアガスおよび/または水素含有ガスが供給されても良い。ガス供給システム160は、キャリアガス、水素含有ガス、またはこれらの混合ガスを含む気体源161と、1または2以上のバルブ162と、1または2以上のフィルタ164と、マスフロー制御器165とを有する。例えば、キャリアガスまたは水素含有ガスのマスフロー速度は、約0.1sccmから約1000sccmの範囲とすることができる。本発明の実施例では、水素含有ガスは、H、NH、またはこれらの組み合わせあっても良い。
また、金属前駆体蒸発システム150からの全ガス流束を測定するため、センサ166が設けられても良い。センサ166は、例えば、マスフロー制御器を有し、センサ166およびマスフロー制御器165を用いて、処理チャンバ110に供給されたルテニウム有機金属前駆体蒸気の量が定められる。あるいは、センサ166は、光吸収センサを有しても良く、この場合、処理チャンバ110へのガス流束中の、ルテニウム有機金属前駆体の濃度が測定される。
センサ166の下流には、バイパスライン167が配置され、このバイパスラインは、蒸気供給システム140を排気ガスライン116に接続する。バイパスライン167は、蒸気前駆体供給システム140を排気するために設けられ、処理チャンバ110へのルテニウム有機金属前駆体蒸気の供給を安定化するために設けられる。また、蒸気前駆体供給システム140の分岐の下流には、バイパスバルブ168が設けられ、これは、バイパスライン167上に設置される。
再度図2を参照すると、蒸気前駆体供給システム140は、高伝導性蒸気ラインを有し、この蒸気ラインは、第1および第2のバルブ141および142を有する。また、蒸気前駆体供給システム140は、さらに、蒸気ライン温度制御システム143を有し、この蒸気ライン温度制御システム143は、ヒータ(図示されていない)を介して、蒸気前駆体供給システム140を加熱するように構成される。蒸気ラインの温度は、蒸気ライン中でのルテニウム有機金属前駆体蒸気の凝縮が回避されるように制御される。蒸気ラインの温度は、約20℃から約100℃に制御され、あるいは約40℃から約90℃に制御される。
また、ガス供給システム190から、水素含有ガスが供給されても良い。例えば、ガス供給システム190は、蒸気前駆体供給システム140と接続され、蒸気前駆体供給システム140の、例えばバルブ141の下流で、例えば水素含有ガス/希釈ガスを、ルテニウム有機金属前駆体蒸気と混合するように構成される。ガス供給システム190は、反応ガス源191、1または2以上の制御バルブ192、1または2以上のフィルタ194、およびマスフロー制御器195を有する。例えば、水素ガスのマスフローの流速は、約0.1sccm(標準立方センチメートル/分)から約1000sccmの範囲である。
マスフォロー制御器165および195、ならびにバルブ162、192、168、141および142は、制御器196で制御され、この制御器は、キャリアガス、水素含有ガス、およびルテニウム有機金属前駆体蒸気の供給、遮断、流束を制御する。さらに制御器195には、センサ166が接続され、制御器195は、センサ166の出力に基づいて、マスフロー制御器165を通るガス流束を制御し、これにより、処理チャンバ100への所望のルテニウム有機金属前駆体の流束が得られる。
図2に示すように、排気ライン116は、排気チャンバ113を排気システム118に接続する。真空ポンプ119を用いて、処理チャンバ110の排気処理が行われ、所望のレベルの真空度が得られ、処理の間、処理チャンバ110から気体種が除去される。真空ポンプ119と直列に、自動圧力制御器(APC)115およびトラップ117が使用される。真空ポンプ119は、排気速度が最大500リットル/秒(またはこれ以上)のターボ分子ポンプ(TMP)を含む。あるいは、真空ポンプ119は、乾燥粗引きポンプを含んでも良い。処理の間、プロセスガスは、処理チャンバ110内に導入され、チャンバ圧力は、APC115で調整される。APC115は、バタフライ型バルブまたはゲートバルブを有する。トラップ117は、未反応ルテニウム有機金属前駆体材料、および処理チャンバ110からの副生成物を回収することができる。
再度図2に示された処理チャンバ110内の基板ホルダ120を参照すると、基板125の保持、持ち上げ、および下降のため、3本の基板リフトピン127(2本のみが図示されている)が設けられている。基板リフトピン127は、板123に結合されており、板の下降により、基板ホルダ120の上面を下げることができる。例えば、エアシリンダを用いた駆動機構129により、板123の上昇および下降の手段が提供される。基板125は、ロボット搬送システム(図示されていない)を介して、ゲートバルブ200およびチャンバ貫通路202を通り、処理チャンバ110内にまたは処理チャンバ110から搬送され、基板リフトピン127によって受容される。一度搬送システムから基板125が受容されると、この基板は、基板リフトピン127を下降させることにより、基板ホルダ120の上面に置載される。
再度図2を参照すると、成膜システム100は、処理チャンバ110の処理領域133でのプラズマ発生が容易となるように構成される。プラズマは、RFプラズマ源により生じ、このプラズマ源は、蒸気分配板131(上部電極)を有し、これへのRF電力は、RF発生器172から、インピーダンスマッチネットワーク170を介して供給される。蒸気分配板34にRF電力を印加する際の通常の周波数は、10MHzから200MHzの範囲であり、60MHzであっても良い。蒸気分配板34に印加されるRF電力は、約500Wから約2200Wの範囲である。さらに、RFプラズマ源は、基板ホルダ120にRF電力を印加して、基板125をビアス化するRF源を有する。RF源は、RF発生器176と、インピーダンスマッチネットワーク174とを有し、このネットワークは、反射電力を最小限に抑制することにより、処理領域133に対する、RF電力のプラズマへの変換を最大化する役割を有する。マッチネットワークの形態(例えば、L型、π型、T型)、および自動制御方法は、従来から知られている。基板ホルダ120への電力印加用の周波数は、0.1MHzから30MHzの範囲であり、2MHzであっても良い。基板ホルダ120に印加されるRF電力は、約0Wから約1000Wの間である。また、制御器180は、RF発生器172、176、ならびにインピーダンスマッチネットワーク170、174に接続され、蒸気分配板131および基板ホルダ120へのRF電力の印加が制御される。別の実施例では、RF電力は、複数の周波数で基板ホルダ120に印加される。
再度図2を参照すると、制御器180は、マイクロプロセッサ、メモリ、およびデジタル入力/出力ポートを有し、このポートは、十分な通信が可能な制御電圧を発生させ、処理システム100の入力を活性化するとともに、処理システム100からの出力をモニターすることを可能にする。また、処理システム制御器180は、処理チャンバ110との情報交換が可能となるように、処理チャンバ110;制御器196;蒸気ライン温度制御システム143、および蒸発温度制御システム156を有する前駆体供給システム105;蒸気分配温度制御システム138;真空排気システム118;ならびに基板温度制御システム128;に接続される。真空排気システム118において、制御器180は、処理チャンバ110の圧力を制御する自動圧力制御器115と接続され、自動圧力制御器115との情報交換が可能となる。メモリに保管されたプログラムを用いて、保管された処理レシピに従って、成膜システム100の前述の構成部材が制御される。ある例では、処理システム制御器180は、デルプレシジョンワークステーション610(登録商標)であり、これは、テキサス州、ダラスのデル社から入手できる。また制御器180は、汎用コンピュータ、デジタル信号処理等を用いて作動されても良い。
制御器180は、メモリに収容された1または2以上の指令の1もしくは2以上のシーケンスを実行するプロセッサに応答して、本発明の処理ステップに基づいて、マイクロプロセッサの動作の一部または全てを実行する、汎用コンピュータシステムとして操作されても良い。そのような指令は、ハードディスクまたはリムーバル媒体ドライブのような別のコンピュータ可読媒体から、制御メモリ内に読み出されても良い。またマルチ処理配置の1または2以上のプロセッサを、制御器用マイクロプロセッサとして使用し、メインメモリに収容された指令のシーケンスを実行しても良い。別の実施例では、代わりに配線接続された回路を使用したり、これをソフトウェア指令と組み合わせて使用しても良い。従って、本発明の実施例は、ハードウェア回路およびソフトウェアのいかなる特定の組み合わせであっても良い。
制御器180は、制御器メモリのような、少なくとも一つのコンピュータ可読媒体またはメモリを有し、これには、本発明の思想に従ってプログラム化された指令が保持され、データ構造、表、記録、または本発明の実行の際に必要となり得る他のデータが収容される。コンピュータ可読媒体の例は、コンパクトディスク、ハードディスク、フロッピーディスク(登録商標)、テープ、光磁気ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAMもしくは他のいかなる磁気媒体、コンパクトディスク(例えばCD−ROM)もしくは他の光媒体、パンチカード、紙テープもしくは穴のパターンを有する他の物理媒体、担体波(以下に示す)、またはコンピュータが読む取ることの可能ないかなる他の媒体である。
本発明は、コンピュータ可読媒体の1または2以上のいかなる組み合わせ上に保管された、制御器180を制御するソフトウェア、本発明を実行する装置もしくはデバイスを駆動するソフトウェア、および/または制御器を人間のユーザと相互作用させることが可能なソフトウェアを含む。そのようなソフトウェアには、これに限られるものではないが、装置ドライバ、オペレーティングシステム、開発ツール、およびアプリケーションソフトウェアが含まれる。さらに、そのようなコンピュータ可読媒体は、本発明のコンピュータプログラム製品を含み、本発明を実施する際に実行される処理プロセスの全てまたは一部が実施される。
本発明のコンピュータコード装置は、いかなる説明コード機構または実行コード機構であっても良く、これに限定されるものではないが、説明プログラム、ダイナミックリンクライブラリ(DLL)、ジャバクラス、および完全説明プログラムが含まれる。また、本発明の処理の一部は、特性、信頼性および/またはコストをさらに改善するように、割り当てられても良い。
本願で使用される「コンピュータ可読媒体」という用語は、実行用制御器180のプロセッサに対して、指令を提供する際に関与する、いかなる媒体をも意味する。コンピュータ可読媒体は、多くの形態を有しても良く、これに限られるものではないが、非揮発性媒体、揮発性媒体、および伝送媒体を含む。非揮発性媒体は、例えば、ハードディスクまたはリムーバルディスクドライブのような、光ディスク、磁気ディスクおよび光磁気ディスクを含む。揮発性媒体は、メインメモリのようなダイナミックメモリを含む。また、コンピュータ可読媒体の各種形態には、実行用制御器のプロセッサに対して、1または2以上の指令の1もしくは2以上のシーケンスを実施するものが含まれる。例えば、指令は、最初、遠隔コンピュータの磁気ディスク上に担持される。遠隔コンピュータは、遠隔操作で、本発明の全てまたは一部を実行する指令をダイナミックメモリにロードし、この指令を、制御器180に対するネットワークに送信する。
制御器180は、成膜システム100に対して、ローカルに配置され、あるいは成膜システム100に対して、インターネットまたはイントラネットを介して配置される。従って、制御器180は、直接接続、イントラネットおよびインターネットの少なくとも1または2以上を用いて、成膜システム100との間でデータを交換することができる。制御器180は、顧客サイト(すなわち、装置メーカ等)でイントラネットに接続され、またはベンダーサイト(すなわち、機器製造者)でイントラネットに接続されても良い。また、別のコンピュータ(すなわち制御器、サーバ等)で制御器180にアクセスして、直接接続、イントラネットおよびインターネットのうちの少なくとも一つを介して、データ交換を行っても良い。
図3には、本発明の実施例により、基板上に金属層を成膜する方法を示す。この方法300は、ステップ302において、成膜システムの処理チャンバ内に基板を提供するステップを有する。例えば、成膜システムは、図1および2に示したような成膜システムを有しても良い。基板は、例えば、Si基板であっても良い。Si基板は、n型またはp型であっても良く、これは形成される装置のタイプに依存する。基板は、いかなる寸法であっても良く、例えば、200mmの基板、300mmの基板、またはより大きな基板である。本発明の実施例では、基板は、パターン化基板であっても良く、1もしくは2以上のビア、溝またはこれらの組み合わせを有しても良い。ビアおよび溝は、例えば、サブミクロン領域の開口を有し、例えば、65nm未満または45nm未満の開口を有する。ビアおよび溝は、高いアスペクト比(深さ/幅)を有し、例えば、約2から約10のアスペクト比を有する。ただし、本発明は、このアスペクト比範囲に限定されるものではない。
ステップ304では、ALD処理プロセスにより、基板上に第1のルテニウム金属層が成膜される。ALD処理プロセスは、熱原子層成膜(TALD)法、またはプラズマ加速原子層成膜(PEALD)法であっても良い。PEALD処理プロセスでは、基板をルテニウム有機金属前駆体に暴露させ、前駆体の層を吸着させ、あるいは前駆体の一部を分解させるステップと、基板を水素含有ガスを含むプラズマに暴露するステップとが交互に実施される。TALD処理プロセスでは、基板をルテニウム有機金属前駆体に暴露させるステップと、基板を水素含有ガスに暴露させるステップとが交互に実施される。交互暴露処理は、所望の厚さを有する第1のルテニウム金属層が基板上に形成されるまで繰り返される。例えば、交互暴露処理は、厚さが約1〜10nmとなるまで繰り返される。
処理チャンバは、交互暴露処理の間に、不活性ガスでパージされ、処理チャンバ内で、ガス相の相互作用が生じることが抑制される。暴露処理間の分離に加えて、不活性ガスは、清浄化効果を有し、この効果には、処理チャンバからの過剰な反応物質および処理チャンバの壁から脱着した材料を搬出することが含まれる。パージ処理期間の間、基板表面にルテニウム有機金属前駆体の層を保持し、最大の清浄化効果を得るため、基板温度、処理チャンバ壁温度、およびパージ時間は、一定にされる。
図4には、本発明の実施例による、ALD処理プロセスの間に、基板上に第1のルテニウム金属層を成膜するための、交互ガス暴露処理を概略的に示す。処理400には、本発明の実施例による、ルテニウム有機金属前駆体のガス暴露のタイミング410、不活性パージガスのタイミング420、および水素含有ガスのタイミング430(プラズマが存在する場合(PEALD)、またはプラズマが存在しない場合(TALD))が、概略的に示されている。ただし、図4に示した図のタイミングは、一例に過ぎず、本発明の実際の実施例に、他のタイミング図を使用しても良いことは、当業者には明らかである。例えば、不活性パージガスの暴露処理は、ALD処理プロセスから排除しても良い。
ALD法は、積層状(レイヤーバイレイヤーの)成膜プロセスであるため、通常の場合、ALD処理プロセスを用いた金属成膜では、パターン化基板上に、金属層の良好なステップ被覆率および良好な共形性が得られる。共形性は、通常、パターン化基板上の特徴物の側壁への金属層の最薄肉部分を、側壁上の金属層の最厚肉部分で除した値として定義される。ステップ被覆率は、通常、側壁上の金属層の厚さを、特徴物から離れた位置の金属層の厚さで除した値として定義される。ALD処理プロセスを用いた一連の暴露ステップのため、ALD法では、比較的成膜速度が遅く、このため、合理的な時間で成膜され得る、第1のルテニウム金属層の厚さは、制限される。しかしながら、金属層の厚さは、数ナノメートル(nm)に過ぎないため、成膜速度が比較的低速であることは、あまり重要ではない。
図3を参照すると、ステップ306では、ルテニウム有機金属前駆体および水素含有ガスを含む還元剤を用いて、熱化学気相成膜(TCVD)法で、第1のルテニウム金属層上に、第2のルテニウム金属層が成膜される。TCVD法では、ルテニウム有機金属前駆体および還元剤は、基板に対して同時に暴露され、第2のルテニウム層が成膜される。ステップ304のALD処理プロセスとは異なり、TCVD処理プロセスでは、高い成膜速度が得られるが、ステップ被覆率および共形性は、低下する。
本発明の実施例では、第1および第2のルテニウム金属層は、約50℃から600℃の範囲の基板温度で成膜され、例えば、約200℃から約300℃の範囲で成膜される。第1のルテニウム金属層を成膜するための基板温度は、ルテニウム有機金属前駆体が自己分解しないように選定される。自己分解が生じると、自己制限レイヤーバイレイヤー成長が不可能となり、この結果、厚さが不均一となる。第2のルテニウム金属層を成膜する際の基板温度は、CVD処理プロセスにおいて、水素含有ガスが混合された際に、ルテニウム有機金属前駆体により、ルテニウム金属層が成膜されるように選定される。ある実施例では、CVD法により、第2のルテニウム金属層を成膜する際の基板温度は、ALD法により、第1のルテニウム金属層を成膜する際の基板温度よりも高い。
本発明の実施例では、第1および第2のルテニウム金属層の成膜の間、処理チャンバ圧力は、約5mTorrから約30Torrの間の圧力に維持される。本発明の実施例では、処理チャンバ圧力は、第2のルテニウム金属層の成膜の間、第1のルテニウム金属層の成膜の間よりも高圧力に維持される。ある実施例では、処理チャンバ圧力は、第1のルテニウム金属層の成膜の間、約5mTorrから約500mTorrの間に維持される。別の実施例では、処理チャンバ圧力は、第2のルテニウム金属層の成膜の間、約500mTorrから約30Torrの間に維持される。
当業者には明らかなように、図3のフローチャートの各ステップまたは段階は、1もしくは2以上の分離ステップおよび/または操作を包含しても良い。従って、3つのステップ302、304、306の記載は、本発明の方法を、3つのステップまたは段階に限定するものではないことに留意する必要がある。また、各代表的なステップまたは段階302、304、306は、単一の処理に限定されるものではないことに留意する必要がある。
図5A乃至5Dには、本発明の実施例による、パターン化基板上でのルテニウム金属層の形成を概略的に示す。当業者には明らかなように、本発明の実施例は、1もしくは2以上のビア、溝またはそれらの組み合わせを有するパターン化基板に適用することができる。図5Aには、本発明の実施例によるパターン化構造500を概略的に示す。パターン化構造500は、第1の金属層510と、開口530を有するパターン化層520とを有する。パターン化層520は、例えば、誘電体材料であっても良い。開口530は、例えば、ビアまたは溝であっても良い。当業者には明らかなように、パターン化構造は、さらに、パターン化層520および第1の金属層510の露出表面に形成されたバリア層(図示されていない)を有しても良い。バリア層は、例えば、タンタル含有層(例えば、Ta、TaN、TaCNまたはこれらの組み合わせ)であっても良く、あるいはタングステン含有層(例えば、W、WNまたはこれらの組み合わせ)であっても良い。
図5Bには、本発明の実施例により成膜された、第1のルテニウム金属層540を含むパターン化構造501が示されている。図3に示したように、第1のルテニウム金属層540は、ALD処理プロセス(PEALDまたはTALD)により成膜され、この層540は、良好な共形性および良好なステップ被覆率を有する。第1のルテニウム金属層540の厚さは、例えば、約1nmから約10nmの間である。
図5Cには、本発明の実施例による、第1のルテニウム金属層540上に成膜された、第2のルテニウム金属層550を含む、パターン化構造502を概略的に示す。図3に示したように、第2のルテニウム金属層550は、CVD処理プロセスで成膜される。得られるルテニウム金属層560は、第1のルテニウム層540と、第2のルテニウム層550とを含む。第2のルテニウム層550の厚さは、例えば、約5nmから約20nmの間である。本発明の実施例では、第2のルテニウム金属層550の厚さは、第1のルテニウム金属層540の厚さよりも厚くしても良い。
図5Dには、開口530内の第2のルテニウム層550上に形成された、Cu層570を含むパターン化構造503を概略的に示す。Cu層550は、電気メッキ(EP)処理で基板503上にCuを成膜し、化学機械研磨処理(CMP)プロセスにより構造503を平坦化することにより形成される。特徴物503を被覆するルテニウム層560は、EP処理プロセスにおけるシード層として機能し、開口530は、ボイドを含まないように充填される。
本発明のある一例としての実施例についてのみ、詳しく説明したが、本発明の思想および利点から実質的に逸脱しないで、一例としての実施例において、多くの変更が可能であることは、当業者には明らかである。従って、そのような全ての変更は、本発明の範囲に属することを意図するものである。
本発明の実施例による成膜システムの概略図である。 本発明の別の実施例による成膜システムの概略図である。 本発明の実施例による基板上にRu金属層を成膜する方法を示した図である。 本発明の実施例によるALD処理の際の、交互ガス暴露処理を示した図である。 本発明の実施例によるパターン化された基板上への、Ru金属層の形成を概略的に示した図である。 本発明の実施例によるパターン化された基板上への、Ru金属層の形成を概略的に示した図である。 本発明の実施例によるパターン化された基板上への、Ru金属層の形成を概略的に示した図である。 本発明の実施例によるパターン化された基板上への、Ru金属層の形成を概略的に示した図である。

Claims (9)

  1. ルテニウム金属層を形成する方法であって、
    成膜システムの処理チャンバ内に、パターン化された基板を提供するステップであって、前記パターン化された基板は、1もしくは2以上のビアもしくは溝またはこれらの組み合わせを有するステップと、
    前記パターン化された基板を、第1の温度に加熱して、前記処理チャンバ内に、第1の圧力を形成するステップと、
    前記第1の温度および前記第1の圧力を維持したまま、原子層成膜処理法で、前記パターン化された基板上に、第1のルテニウム金属層を成膜するステップであって、第1の、前記基板をルテニウム有機金属前駆体に暴露するステップと、第2の、前記基板をH 2 および/またはNH 3 ガスに暴露するステップとの交互ステップを有するステップと、
    前記第1の温度からより高温の第2の温度まで、前記パターン化された基板の温度を高め、前記第1の圧力からより高圧の第2の圧力まで、前記処理チャンバ内の圧力を高めるステップと、
    前記第2の温度および前記第2の圧力を維持したまま、熱化学気相成膜処理法で、前記第1のルテニウム金属層の上部に、第2のルテニウム金属層を成膜するステップであって、前記パターン化された基板を、ルテニウム有機金属前駆体と、H および/またはNH ガスとに同時に暴露するステップを有するステップと、
    を有する方法。
  2. 前記第1のルテニウム金属層を成膜するステップは、プラズマ加速原子層成膜処理法を含み、
    前記第2の暴露ステップは、前記H および/またはNH ガスのプラズマに、前記基板を暴露するステップを有することを特徴とする請求項1に記載の方法。
  3. さらに、
    前記交互の暴露ステップの間に、前記処理チャンバを不活性ガスでパージするステップを有することを特徴とする請求項に記載の方法。
  4. 前記第1および第2の交互の暴露ステップは、所望の回数だけ実施され、厚さが約1乃至10nmの前記第1のルテニウム金属層が成膜されることを特徴とする請求項に記載の方法。
  5. 前記第1のルテニウム金属層を成膜するステップは、熱原子層成膜処理法を有することを特徴とする請求項1に記載の方法。
  6. 前記第2のルテニウム金属層の厚さは、前記第1のルテニウム金属層の厚さよりも厚いことを特徴とする請求項1に記載の方法。
  7. 前記第1および第2のルテニウム金属層は、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、ビス(2,4−ジメチルペンタジエニル)ルテニウム、(2,4−ジメチルペンタジエニル)(メチルシクロペンタジエニル)ルテニウム、ビス(エチルシクロペンタジエニル)ルテニウム、およびこれらの組み合わせのうちの少なくとも一つを含む、ルテニウム有機金属前駆体から成膜されることを特徴とする請求項1に記載の方法。
  8. 前記第1の圧力は、約5mTorrから約500mTorrの間であり、前記第2の圧力は、約500mTorrから約30Torrの間であることを特徴とする請求項に記載の方法。
  9. さらに、前記パターン化された基板は、該基板上に形成されたバリア層を有し、該バリア層の上に、前記第1のルテニウム金属層が成膜され
    前記バリア層は、Ta、TaN、TaCN、W、WN、またはこれらの組み合わせであることを特徴とする請求項1に記載の方法。
JP2008501891A 2005-03-16 2006-02-21 パターン化された基板上にルテニウム金属層を形成する方法 Active JP4308314B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/907,022 US7273814B2 (en) 2005-03-16 2005-03-16 Method for forming a ruthenium metal layer on a patterned substrate
PCT/US2006/006031 WO2006101646A1 (en) 2005-03-16 2006-02-21 Method for forming a ruthenium metal layer on a patterned substrate

Publications (3)

Publication Number Publication Date
JP2008538126A JP2008538126A (ja) 2008-10-09
JP2008538126A5 JP2008538126A5 (ja) 2009-04-09
JP4308314B2 true JP4308314B2 (ja) 2009-08-05

Family

ID=36680176

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008501891A Active JP4308314B2 (ja) 2005-03-16 2006-02-21 パターン化された基板上にルテニウム金属層を形成する方法

Country Status (6)

Country Link
US (1) US7273814B2 (ja)
JP (1) JP4308314B2 (ja)
KR (1) KR101069299B1 (ja)
CN (1) CN100514599C (ja)
TW (1) TWI307139B (ja)
WO (1) WO2006101646A1 (ja)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7651570B2 (en) * 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
JP2007073637A (ja) * 2005-09-05 2007-03-22 Tokyo Electron Ltd 成膜方法および半導体装置の製造方法
KR100655139B1 (ko) * 2005-11-03 2006-12-08 주식회사 하이닉스반도체 캐패시터 제조 방법
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100717501B1 (ko) * 2005-12-29 2007-05-14 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US20090022891A1 (en) * 2006-02-08 2009-01-22 Jsr Corporation Method of forming metal film
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
JP5207962B2 (ja) * 2006-02-28 2013-06-12 東京エレクトロン株式会社 ルテニウム膜の成膜方法
US7713907B2 (en) * 2006-03-06 2010-05-11 Uchicago Argonne, Llc Method of preparing size-selected metal clusters
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7998864B2 (en) * 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8124528B2 (en) * 2008-04-10 2012-02-28 Micron Technology, Inc. Method for forming a ruthenium film
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP5549848B2 (ja) * 2008-12-25 2014-07-16 東ソー株式会社 ルテニウム化合物、その製法及びそれを用いた成膜法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
JP5732772B2 (ja) * 2009-12-28 2015-06-10 東ソー株式会社 ルテニウム錯体混合物、その製造方法、成膜用組成物、ルテニウム含有膜及びその製造方法
CN101845629B (zh) * 2010-04-14 2012-03-28 江苏时代华宜电子科技有限公司 钼片复合镀钌工艺
US8859422B2 (en) * 2011-01-27 2014-10-14 Tokyo Electron Limited Method of forming copper wiring and method and system for forming copper film
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
CN102400113A (zh) * 2011-12-14 2012-04-04 嘉兴科民电子设备技术有限公司 远程脉冲射频电感耦合放电等离子体增强原子层沉积装置
US20140134351A1 (en) 2012-11-09 2014-05-15 Applied Materials, Inc. Method to deposit cvd ruthenium
US9558997B2 (en) * 2012-12-28 2017-01-31 Globalfoundries Inc. Integration of Ru wet etch and CMP for beol interconnects with Ru layer
DE112014006897T5 (de) * 2014-08-27 2017-05-11 Ultratech, Inc. Verbessertes Kontaktloch durch Silizium
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
KR102542758B1 (ko) * 2015-06-05 2023-06-12 도쿄엘렉트론가부시키가이샤 상호접속부를 위한 루테늄 금속 피처 충전
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
KR101820237B1 (ko) 2016-04-29 2018-01-19 한양대학교 산학협력단 가압식 금속 단원자층 제조 방법, 금속 단원자층 구조체 및 가압식 금속 단원자층 제조 장치
US9768063B1 (en) 2016-06-30 2017-09-19 Lam Research Corporation Dual damascene fill
US20180053688A1 (en) * 2016-08-16 2018-02-22 Tokyo Electron Limited Method of metal filling recessed features in a substrate
US11293093B2 (en) 2017-01-06 2022-04-05 Applied Materials Inc. Water assisted highly pure ruthenium thin film deposition
JP6807251B2 (ja) * 2017-03-02 2021-01-06 東京エレクトロン株式会社 ルテニウム配線の製造方法
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US11807939B2 (en) * 2017-07-18 2023-11-07 Kojundo Chemical Laboratory Co., Ltd. Atomic layer deposition method for metal thin films
US10411017B2 (en) * 2017-08-31 2019-09-10 Micron Technology, Inc. Multi-component conductive structures for semiconductor devices
US11371138B2 (en) 2018-11-08 2022-06-28 Entegris, Inc. Chemical vapor deposition processes using ruthenium precursor and reducing gas
TWI790943B (zh) * 2022-03-11 2023-01-21 漢民科技股份有限公司 化學氣相沉積系統與方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1130628A4 (en) 1998-10-14 2007-07-04 Hitachi Ltd SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
US6303809B1 (en) 1999-12-10 2001-10-16 Yun Chi Organometallic ruthenium and osmium source reagents for chemical vapor deposition
US6440495B1 (en) 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6479100B2 (en) 2001-04-05 2002-11-12 Applied Materials, Inc. CVD ruthenium seed for CVD ruthenium deposition
KR100727372B1 (ko) 2001-09-12 2007-06-12 토소가부시키가이샤 루테늄착체, 그 제조방법 및 박막의 제조방법
KR100408725B1 (ko) 2001-12-10 2003-12-11 주식회사 하이닉스반도체 반도체소자의 캐패시터 형성방법
KR100805843B1 (ko) 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6713373B1 (en) 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100505680B1 (ko) * 2003-03-27 2005-08-03 삼성전자주식회사 루테늄층을 갖는 반도체 메모리 소자의 제조방법 및루테늄층제조장치
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US7107998B2 (en) 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
US20050110142A1 (en) 2003-11-26 2005-05-26 Lane Michael W. Diffusion barriers formed by low temperature deposition
US7285308B2 (en) 2004-02-23 2007-10-23 Advanced Technology Materials, Inc. Chemical vapor deposition of high conductivity, adherent thin films of ruthenium

Also Published As

Publication number Publication date
WO2006101646A1 (en) 2006-09-28
US20060211228A1 (en) 2006-09-21
US7273814B2 (en) 2007-09-25
KR20070112190A (ko) 2007-11-22
TWI307139B (en) 2009-03-01
KR101069299B1 (ko) 2011-10-05
TW200717709A (en) 2007-05-01
CN101142670A (zh) 2008-03-12
CN100514599C (zh) 2009-07-15
JP2008538126A (ja) 2008-10-09

Similar Documents

Publication Publication Date Title
JP4308314B2 (ja) パターン化された基板上にルテニウム金属層を形成する方法
JP4980235B2 (ja) 金属カルボニル前駆体からの金属層の成膜速度を上げる方法
JP4980234B2 (ja) 金属カルボニル前駆体から金属層を堆積する方法
JP5406191B2 (ja) ルテニウムの選択堆積を半導体デバイスの作製に統合する方法
JP4512159B2 (ja) 低抵抗ルテニウム層の低温化学気相成長
JP5550566B2 (ja) 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法
US7288479B2 (en) Method for forming a barrier/seed layer for copper metallization
US7482269B2 (en) Method for controlling the step coverage of a ruthenium layer on a patterned substrate
US7713876B2 (en) Method for integrating a ruthenium layer with bulk copper in copper metallization
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7485338B2 (en) Method for precursor delivery
TWI382496B (zh) 將保形釕層整合至具高縱橫比特徵之銅金屬化結構的方法
WO2005034223A1 (en) Method of depositing metal layers from metal-carbonyl precursors
JP2009526134A (ja) 膜前駆体蒸発システムおよびそれを用いる方法
TW201027625A (en) Method for forming ruthenium metal cap layers
JP2007270355A (ja) 金属カルボニル先駆体を利用した堆積プロセスの初期化方法及びシステム
WO2006104647A2 (en) Method and system for forming avariable thickness seed layer
TWI310967B (en) Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090220

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20090220

TRDD Decision of grant or rejection written
A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20090319

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090331

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090430

R150 Certificate of patent or registration of utility model

Ref document number: 4308314

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120515

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120515

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120515

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150515

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250