JP4131937B2 - テスト機能を有する論理回路 - Google Patents

テスト機能を有する論理回路 Download PDF

Info

Publication number
JP4131937B2
JP4131937B2 JP2003084586A JP2003084586A JP4131937B2 JP 4131937 B2 JP4131937 B2 JP 4131937B2 JP 2003084586 A JP2003084586 A JP 2003084586A JP 2003084586 A JP2003084586 A JP 2003084586A JP 4131937 B2 JP4131937 B2 JP 4131937B2
Authority
JP
Japan
Prior art keywords
scan
circuit
signal
clock
output terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003084586A
Other languages
English (en)
Other versions
JP2004294167A (ja
Inventor
森 賢 二 大
橋 真 史 高
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2003084586A priority Critical patent/JP4131937B2/ja
Priority to US10/808,421 priority patent/US7313742B2/en
Publication of JP2004294167A publication Critical patent/JP2004294167A/ja
Application granted granted Critical
Publication of JP4131937B2 publication Critical patent/JP4131937B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Logic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Description

【0001】
【発明の属する技術分野】
この発明は、テスト機能を有する論理回路に係り、特にフリップフロップ等の高速論理演算を行なう論理回路における故障検出のためのテストスキャンやプログラムデバッグなどのテスト機能を実現する際にテスト時の回路内部の状態を確認することを可能にしたテスト機能を有する論理回路に関する。
【0002】
【従来の技術】
一般に、プロセッサ装置等の論理回路においては、この回路の内部がどのような状態になっているのかを知るためには、故障診断のために内部回路の動作状態をチェックするテストスキャンや、プログラムの不具合であるバグを見つけるデバッグ専用回路を論理回路などの専用テスト回路を論理回路内部に設ける必要がある。これらの専用テスト回路を論理回路に内蔵させなければ、論理回路の内部状態を確認することはできなかった。
【0003】
また、専用テスト回路を論理回路に内蔵させるとしても、あまりに規模の大きな回路は論理回路全体の回路面積を増大させてしまい、また、回路からテスト結果を外部に出力させる場合には、信号の周波数を低下させたりしなければならなかった。そのため論理回路に専用テスト回路を内蔵させることは、回路面積の上からも出力信号の周波数の上からも限界があり、専用テスト回路により高性能のデバッグ処理を行なうことができなかった。
【0004】
そこで、従来より、論理回路におけるスキャンをテストする場合には、図6に示すようなスキャンF/F(フリップフロップ)回路を挿入動作させてテストスキャンを行なうようにした論理回路が提案されている。このスキャンF/F回路は、例えばフリップフロップ回路などを含む論理回路を大規模集積回路(以下、LSI―Large Scale Integrated-circuit―とする)として製品化した場合、製品の出荷前に、LSIの故障検出を行なうときに用いられている。
【0005】
図6において、従来のスキャンテスト回路20は、複数のスキャンF/F回路21,22,23を備えており、各スキャンF/F回路21〜23は、クロック共通入力端子24を介して供給されたスキャンクロック信号C1を受け入れるクロック入力端子SCKをそれぞれ備え、初段のスキャンF/F回路21は外部スキャン入力端子25を介して供給されたスキャン入力信号S1を受け入れるスキャン入力端子SINと、各段におけるスキャンクロック信号とスキャン入力信号とに基づく所定の論理演算の後にスキャン出力信号を次段のスキャンF/F回路22へと出力するスキャン出力端子SOUTを備えている。
【0006】
次段のスキャンF/F回路22は、初段のスキャンF/F回路21のスキャン出力端子SOUTからの信号を入力するスキャン入力端子SINと、スキャンクロック信号と前段からの信号とに基づく所定の論理演算の後にスキャン信号を最終段のスキャンF/F回路23へと出力するスキャン出力端子SOUTを備えている。最終段のスキャンF/F回路23は、前段のスキャンF/F回路22のスキャン出力端子SOUTからの信号前段からの信号を入力するスキャン入力端子SINと、スキャンクロック信号と前段からの信号とに基づく所定の論理演算の後にスキャン信号をスキャンテスト回路20の外部スキャン出力端子26へと出力するスキャン出力端子SOUTを備えている。
【0007】
各スキャンF/F回路21〜23は以上のように構成されているので、初段のスキャンF/F回路21のスキャン入力端子SINに供給されたスキャン入力信号は、次段のスキャンF/F回路22のスキャン出力端子SOUTから最終段のスキャンF/F回路23のスキャン入力端子SINへ供給され、最終段のスキャンF/F回路23のスキャン出力端子SOUTから外部スキャン出力端子26へと出力される。このように、従来のスキャンテスト回路20は、スキャンクロック信号を用いて外部から論理回路の内部を動作させて、外部スキャン出力端子26より実際に出力されたテスト信号が期待値と一致もしくは近似しているかを判定することにより、内部F/F回路の故障を検出するものである。
【0008】
なお、図6の従来例においては、スキャンテスト回路20を3段のF/F回路21〜23により構成するものとして説明しているが、3段はあくまでも一例であり、複数段のF/F回路によりスキャンテストを行なうことができることはいうまでもない。また、スキャンF/F回路をグループ分けして各グループが複数段のスキャンF/F回路をそれぞれ有する複数のグループにより構成しても、同様のスキャンテストを行なうことができる。
【0009】
【発明が解決しようとする課題】
上述した従来の論理回路においては、論理回路のデバッグ処理を行ないたいときには、予め専用のテスト回路を組み込む必要があったが、回路面積を節約するために大規模な専用テスト回路を設けることには限界があり、また、高速で演算処理する論理回路の処理結果を外部に出力させるためには、信号周波数を変更しなくてはならないといった動作上の制約もあった。このため、専用のデバッグ回路を搭載することには、製造上の制限という問題があった。
【0010】
また、論理回路のスキャンテストを行なう場合には、スキャンF/F回路を含む論理回路LSI製品を出荷する前に回路内部の故障を検出するために挿入して動作させている。このスキャンテスト回路は、あくまでも外部スキャン入力端子25より入力したテスト用のスキャン信号をスキャンF/F回路21〜23に入力してスキャンさせて出力されたスキャン信号の値と期待値とを比較してLSIの故障を検出するものであるので、プログラムの不具合であるバグを見つけ出すデバッグ処理を行なう場合には用いることができないという問題があった。
【0011】
本発明は、上記問題を解決するために為されたものであり、簡単な回路構成を付加するだけで、論理回路におけるスキャンF/F機能を用いてデバッグ検出のためのテスト機能をも備えさせるようにして、簡単な回路構成により論理回路の内部状態を正確に検出することができるテスト機能を有する論理回路を提供することを目的としている。
【0012】
【課題を解決するための手段】
上記課題を解決するため、本発明の基本構成に係るテスト機能を有する論理回路は、スキャンクロック信号が各段のクロック入力端子に供給されると共に前段のスキャン出力端子からの信号が次段のスキャン入力端子に供給されて順次に論理演算するように接続された複数段のスキャンF/F回路と、前記複数段のスキャンF/F回路の最終段のスキャンF/F回路のスキャン出力端子からの信号を帰還させる帰還信号線と、外部から供給される外部スキャン入力信号と、前記最終段のスキャンF/F回路から帰還される前記信号との何れかを選択して初段のスキャンF/F回路のスキャン入力端子に供給するデータ選択回路と、前記データ選択回路に対して、前記最終段のスキャンF/F回路のスキャン出力端子からの信号を前記初段のスキャンF/F回路のスキャン入力端子に供給させるための制御信号を供給して、内部スキャンモードにおける前記複数段のスキャンF/F回路内の各段のスキャンF/F回路の動作状態を制御するスキャン制御回路と、前記データ選択回路に帰還される前記最終段のスキャン出力端子からの信号を外部へと取り出す外部スキャン出力端子と、外部から供給された外部スキャンクロック信号と、内部で生成されて供給された内部スキャンクロック信号と、の何れかを、前記スキャン制御回路からのクロック選択用の制御信号により選択してスキャンクロック信号として前記複数段のスキャンF/F回路の各段のクロック入力端子に供給するクロック選択回路と、前記クロック選択回路により選択されて各段のスキャンF/F回路のそれぞれのクロック入力端子へと供給されるスキャンクロック信号を外部へと取り出す外部クロック出力端子と、前記複数段のスキャンF/F回路を外部から動作させるディスエーブル信号を前記スキャン制御回路に入力して外部スキャンF/Fモードを設定するためのディスエーブル信号入力端子と、前記スキャン制御回路により設定された外部スキャンF/Fモードで前記複数段のスキャンF/F回路内部での動作が循環していることを知らせるイネーブル信号を外部に取り出すためのイネーブル出力端子と、を備え、前記スキャン制御回路は、前記イネーブル信号を前記イネーブル出力端子より外部へ出力すると共に、前記複数段のスキャンF/F回路の内部で動作が循環している間に該イネーブル信号と同様の内部ストール信号を内部回路にも供給してこれら内部回路のクロックを全て停止させることを特徴としている。
【0013】
上記基本構成において、第1構成に係るテスト機能を有する論理回路は、スキャン制御回路が、予めプログラムに組み込まれたプロセッサ命令に基づいて、前記データ選択回路に対して前記制御信号を供給するようにしても良い。
【0014】
このプログラムに組み込まれたプロセッサ命令は、縮小命令セットコンピュータ(RISC)命令であってもよい。
【0017】
さらに上記構成において、前記外部スキャンクロック出力端子、前記クロック出力端子、前記イネーブル出力端子に接続され、前記内部スキャンクロック信号が前記外部クロック出力端子を介して外部に出力されたときのクロックの速度が速すぎる場合に前記外部スキャン出力端子から出力されるシリアルデータをパラレルデータに変換するシリアル/パラレル変換回路をさらに備えるようにしても良い。
【0018】
上記構成において、スキャン制御回路は、前記スキャンクロック信号、前記プロセッサ命令、前記ディスエーブル信号に加えて、前記複数段のスキャンF/F回路の内部での循環動作が一巡した後にプログラムを1サイクル進めてさらに循環させるローテイト信号と、前記ローテイト信号が入力されているときにプログラムを1サイクル進めた後内部状態を出力させてから停止するステップ信号と、を入力するようにしても良い。
【0019】
上記幾つかの構成において、少なくとも前記複数段のスキャンF/F回路と、前記データ選択回路と、前記クロック選択回路と、前記スキャン制御回路とを含む1つのグループ論理回路を複数グループ備えるようにしても良い。
【0020】
【発明の実施の形態】
以下、本発明に係るテスト機能を有する論理回路の実施形態について、添付図面を参照しながら詳細に説明する。まず、本発明の基本構成である第1実施形態に係る論理回路について、図1を用いて説明する。この第1実施形態に係る論理回路は、この回路が備えるスキャンF/Fなどのテスト機能を用いてプログラムに含まれるプロセッサ命令をデバッグすることを可能にする最も基本的な構成を備えている。プロセッサ命令の一例としては、RISC(Reduced Instruction Set Computer―縮小命令セットコンピュータ―)命令が含まれる。
【0021】
図1において、第1実施形態に係るテスト機能を有する論理回路1は、スキャンクロック信号C1が各段のクロック入力端子SCKに供給されると共に前段のスキャン出力端子SOUTからの出力が次段のスキャン入力端子SINに供給されて順次に論理演算するように接続された複数段のスキャンF/F回路21,22,23と、各段のスキャンF/F回路21〜23のそれぞれのクロック入力端子SCKに供給されるスキャンクロック信号C1を外部から入力するためのスキャンクロック共通入力端子24と、最終段のスキャンF/F回路23のスキャン出力信号S2を帰還させる帰還信号線2と、スキャン入力端子25を介して外部から入力された外部スキャン入力信号S1と帰還信号線2を介して最終段のスキャンF/F回路23から帰還されたスキャン出力信号S2との何れかを選択すると共に選択された信号S3を初段のスキャンF/F回路21のスキャン入力端子SINに供給するデータ選択回路3と、例えばプロセッサ6に格納されたプログラムに予め組み込まれたプロセッサ命令5に基づいてデータ選択回路3に対して最終段のスキャンF/F回路23のスキャン出力信号S2を選択して初段のスキャンF/F回路21のスキャン入力端子SINに供給させるためのデータ選択用の制御信号7を供給して各段のスキャンF/F回路内21〜23の動作状態を制御するスキャン制御回路4と、データ選択回路3に帰還される最終段のスキャンF/F回路23のスキャン出力信号S2を外部へと取り出す外部スキャン出力端子26とを基本的に備えている。
【0022】
以上の構成を備える図1に示す第1実施形態の論理回路の動作について説明する。スキャンF/F回路21〜23へは、クロック共通入力端子24を介してスキャンクロックC1が供給されている。このスキャンクロックC1は、スキャン制御回路4にも供給されており、プロセッサ命令5に基づくプログラムのデバッグをスキャンF/Fにより行なう場合には、スキャンクロックC1とプロセッサ命令5とにより帰還信号線2を介して最終段のスキャンF/F回路23より帰還されたスキャン出力信号S2を選択する選択信号7がデータ選択回路3に出力される。データ選択回路3には、従来と同様の外部スキャン入力端子25を介してスキャン入力信号S1も入力されており、プロセッサ命令5がプログラムのデバッグを行なう命令を発していないときには、外部からのスキャン入力S1により通常のスキャンF/Fが行なわれている。
【0023】
以上が第1実施形態に係るテスト機能を有する論理回路の構成および動作であるが、プログラムデバッグをプロセッサ命令5により内部的に行なうだけでなく、外部からの命令によって行なったり、スキャンクロックC1を外部からのみ供給するのでなく内部的に生成したりすることも可能である。以下、図2を用いて第2実施形態に係るテスト機能を有する論理回路について説明する。
【0024】
図2に示す第2実施形態の論理回路1は、図1の構成に加えて、端子24から入力された外部スキャンクロックC1とスキャン制御回路4より信号線8を介して供給された内部スキャンクロックC2との何れかをクロック選択用の制御信号9により選択してスキャンクロックC3をスキャンF/F回路21〜23に供給するクロック選択回路10と、クロック選択回路10により選択されて各段のスキャンF/F回路21〜23のそれぞれのクロック端子SCKに供給されるスキャンクロックC3を外部へ取り出すスキャンクロック外部出力端子11とを備えている。
【0025】
図2に示す第2実施形態に係る論理回路1はさらに、スキャンF/Fを外部から動作させるためのディスエーブル信号Sをスキャン制御回路4に入力して外部スキャンF/Fモードを設定するためのディスエーブル信号入力端子12と、スキャン制御回路4により設定された外部スキャンF/Fモードで複数段のスキャンF/F回路21〜23の内部での動作が循環していることを外部に知らせるためのイネーブル信号Sを取り出すためのイネーブル出力端子13とをさらに備えている。また、スキャン制御回路4は、イネーブル信号Sをイネーブル出力端子13より外部へ出力すると共に、複数段のスキャンF/F回路21〜23の内部で動作が循環している間に該イネーブル信号Sに基づいて内部回路のクロックを全て停止させる内部ストール信号14を内部回路に供給している。
【0026】
以上の構成を備える第2実施形態に係るテスト機能を有する論理回路の動作について説明する。まず、プロセッサ命令5によりスキャンクロック循環モードとなり最終段のスキャンF/F回路23のスキャン出力端子SOUTから出力されたスキャン出力信号S2が帰還信号線2を介してデータ選択回路3により選択されてスキャン信号S3として初段のスキャンF/F回路21のスキャン入力端子SINに入力される。スキャンクロックを内部で接続されているF/F回路の数だけ循環させることにより内部F/F回路の内容を循環前と循環後で変更することなく、循環させた全ての内部F/F回路21〜23のデータを外部スキャン出力端子26から取り出すことができる。
【0027】
各段のスキャンF/F回路21〜23に供給されるスキャンクロックC3は、クロック共通入力端子24を介して入力された外部スキャンクロックC1と、内部で生成されて信号線8を介して供給された内部スキャンクロックC2とをスキャン制御回路4からのクロック選択用の制御信号9の制御により選択される。スキャンF/F回路21〜23が内部で循環している間は、イネーブル信号出力端子13からイネーブル信号Sを外部に出力することによりスキャンF/F回路21〜23が内部で循環中であることを外部に対して知らせておくと共に、イネーブル信号Sと同様の内部ストール信号14を内部回路にも供給して内部回路のクロックを全て停止させておくことにより、内部回路の状態が他の状態に遷移しないようにしている。
【0028】
以上のように、従来のスキャンF/F回路と同様に、外部からスキャンF/F回路21〜23をスキャン動作させたい場合には、入力端子14からディスエーブル信号Sを入力することにより外部スキャンF/Fモードにすることができる。以上のように、第2実施形態に係るテスト機能を備える論理回路によれば、第1実施形態と同様に、論理回路1のスキャン制御回路4に対してプロセッサ命令5が入力されるとクロック選択用の制御信号9がクロック選択回路10に出力されてスキャンクロックC3として内部スキャンクロックC2が選択されることにより内部スキャンF/Fモードが設定される。内部スキャンF/Fモードになると、スキャンF/F回路21〜23が循環されて内部スキャンF/Fが行なわれる。この内部スキャンF/Fモードのときに、内部スキャンクロックC2のみを用いてスキャン動作を循環させることにより、プログラムの複数サイクルについてバグをチェックすることができるので、デバッグ回路として適用することができる。
【0029】
また、入力端子12よりディスエーブル信号Sがスキャン制御回路4に入力されると、クロック選択用の制御信号9によりクロック選択回路10が外部スキャンクロックC1をスキャンクロックC3として選択して、外部スキャンF/Fモードを設定する。外部スキャンF/Fモードが設定されると、各段のスキャンF/F回路21〜23に外部スキャンクロックC1が供給されて外部スキャンF/Fが行なわれる。このとき、イネーブル信号Sと同じ内部ストール信号14により内部回路へ供給されるスキャンクロックを全て停止させている。
【0030】
なお、上述した第2実施形態に係るテスト機能を有する論理回路においては、図6に示した従来の論理回路に、データ選択回路3、スキャン制御回路4、プロセッサ命令5、クロック選択回路10、スキャンクロック外部出力端子11、イネーブル信号出力端子13、内部ストール信号14などが付加された構成となっているが、これ以外にも、種々の構成が提供可能である。例えば、内部生成スキャンクロックを選択して用いる場合には、内部回路におけるスキャン動作においては問題がなくても外部スキャン出力端子26よりスキャン出力を取り出す際に外部信号としては速すぎる場合には、シリアル/パラレル変換回路を接続することにより、外部スキャン出力端子26より出力されるシリアルデータをパラレルデータに変換して取り出すことにより高速の内部処理に対応することが可能となる。また、このシリアル/パラレル変換回路は、内部クロック処理が高速である場合だけでなく、論理回路をLSIチップとして構成した際に外部ピンに余裕がある場合にも有効に適用することができる。
【0031】
図3に示す第3実施形態に係る論理回路1に特有の構成は、シリアル/パラレル変換回路15がスキャンF/F回路の出力端子、少なくとも外部スキャン出力端子26に接続されている点である。この第3実施形態に係る論理回路は、外部スキャン出力端子26、クロック外部出力端子11、イネーブル信号出力端子13に接続されて、内部スキャンクロック信号がクロック外部出力端子11を介して外部に出力されたときの内部クロックの速度が速すぎる場合に外部スキャン出力端子26から出力されるシリアルデータをパラレルデータに変換するシリアル/パラレル変換回路15をさらに備えるものである。
【0032】
この第3実施形態に係る論理回路1のように、少なくとも外部スキャン出力端子26の出力側にシリアル/パラレル変換回路15を設けておくことにより、外部に出力されたときに高速すぎるスキャン出力信号をシリアルデータからパラレルデータに変換して適宜取り出すことができ、内部スキャンクロックが速すぎる場合でも所望の速度でスキャン出力を利用することができる。
【0033】
なお、上記第1ないし第3実施形態に係る論理回路1においては、プロセッサ命令5を用いてスキャン制御回路4により内部的にデータ選択用の制御信号7、クロック選択用の制御信号9を生成してスキャンF/F動作の制御を行なうようにしていたが、本発明はこれにも限定されず、プロセッサ命令5を用いる代わりに外部から任意の時間に内部スキャンクロックを循環させるように構成することも可能である。
【0034】
この外部からの制御により任意の時間に内部スキャンを循環させる具体例として、図4に示す第4実施形態に係るテスト機能を有する論理回路について説明する。図4において、図1ないし図3の論理回路と同一構成要素については同一符号を付して重複説明を省略する。
【0035】
スキャン制御回路4は、内部スキャンクロック信号C2、プロセッサ命令5、端子12より供給されるディスエーブル信号Sに加えて、外部入力端子16より入力されて複数段のスキャンF/F回路21〜23の内部での循環動作が一巡した後にプログラムを1サイクル進めてさらに循環させるローテイト(ROTATE)信号17と、外部入力端子18より入力されてローテイト信号17が入力されているときにプログラムを1サイクル進めた後の内部状態を出力させてから停止するステップ(STEP)信号19と、を入力している。
【0036】
この第4実施形態に係るテスト機能を有する論理回路は、プロセッサ命令5により内部スキャンを循環させるのではなく、ローテイト信号17により外部から任意の時間に内部スキャンを循環させることができ、これによって内部回路の状態を外部から見ることが可能になる。また、ローテイト信号17を供給し続けることにより、内部スキャンF/Fが1回循環した後プログラムを1サイクル進めてから、再びスキャンF/Fを循環させる動作を繰り返すことができる。このように構成することにより、プログラムのサイクル・ベースのデバッグ機能をスキャンF/Fにより実現することができる。
【0037】
また、ローテイト信号17が供給されている間に、スキャン制御回路4にステップ信号19を供給することにより、プログラムを1サイクルだけ進めて論理回路の内部状態を外部に出力してからその状態で停止するという機能を実現することができる。この種のサイクル・ベースのデバッグ機能は、プロセッサ命令5に基づく内部スキャンクロックによるスキャンF/Fに対して外部スキャンクロックによりスキャンF/Fをプログラムのデバッグに適用することを可能にしているので、プログラムのどの部分にバグが含まれているのかを外部から正確に検出することを可能にしている。
【0038】
上述した実施形態は何れも、図1ないし図4に示すように、複数段のスキャンF/F回路21〜23を1つのグループで動作させていたが、本発明はこれに限定されず、図5に示された第5実施形態に係るテスト機能を有する論理回路のように、各グループが複数段のスキャンF/F回路21〜23を有する複数の論理回路グループ31〜33を備えるように構成しても良い。
【0039】
図5において、第5実施形態に係る論理回路30は、複数の論理回路グループ31〜33を備えている。各々の論理回路グループ31〜33は、複数段のスキャンF/F回路21〜23と、データ選択回路3と、スキャン制御回路4と、クロック選択回路10と、をそれぞれ備えている。スキャンF/Fを行なうグループは、各論理回路グループ31〜33内のプロセッサ命令5により選択され、そのグループ内でスキャンF/Fを循環させる回数を適宜に変更することにより、より効率の良いデバッグを行なうことができる。また、1つのグループのみをスキャンF/Fするのではなく、任意の1つのグループを選択的に制御したり、複数のグループを順次ないしは交互に制御したりするためにグループ制御回路35が設けられている。
【0040】
グループ制御回路35は、それぞれの論理回路グループ31〜33の外部入力端子24,25,12,16,18に対してそれぞれのデータ、クロック、制御についての信号を供給している。個別の論理回路グループ31〜33内のスキャン制御は、各グループのスキャン制御回路4により行なわれているので、グループ制御回路35は、グループ間のスキャン制御のために用いられている。
【0041】
外部出力端子11,26,13よりそれぞれ出力されるスキャンクロック出力C3、スキャン出力S2、イネーブル信号Sなどは、第3および第4実施形態に係る論理回路と同様に、シリアル/パラレル変換回路15を設けて、スキャン出力信号をシリアルデータからパラレルデータに変換して適宜取り出すことができ、内部スキャンクロックが速すぎる場合でも所望の速度でスキャン出力を利用することができる。シリアル/パラレル変換回路15は、論理回路グループ31〜33毎に設けても良いが、図5に示すように、全てのグループの出力について一括してシリアル/パラレル変換できるように構成しても良い。
【0042】
第5実施形態に係るテスト機能を有する論理回路30を図5に示すように構成したので、グループ制御回路35によって複数のグループのうちから任意の数の幾つかを選択したり、任意の複数のグループを同時並行あるいは順次にスキャンF/Fを実行したりして、プロセッサ命令に基づくデバッグ処理を行なうことが可能である。
【0043】
なお、この第5実施形態に係るテスト機能を有する論理回路においても、第1ないし第4実施形態に係る回路に設けた機能を持たせることによって、ストール信号14による内部回路における全てのクロックの停止や、プロセッサ命令5に代えてディスエーブル信号Sによる外部からのスキャンF/F動作の制御や、ローテイト信号17によるスキャンF/Fの1循環後にプログラムを1サイクル進めて再循環動作を繰り返すような制御がグループ単位で可能になる。また、ローテイト信号17と共にステップ信号19をも用いて1サイクル進めて内部状態を読み出し、その段階で停止させるようなサイクルデバッグ機能を論理回路グループ単位で用いることもできる。
【0044】
なお、説明の便宜上、スキャンF/F回路も論理回路グループも3つを例にして説明したが、スキャンF/F回路も論理回路グループも数万個から数百万個の規模で設けることができる。このように多数この論理回路を複数段、複数グループに分けて用いる場合に本願発明のプログラムデバッグをスキャンF/Fでテストするという特徴を特に有効に利用することが可能となる。
【0045】
【発明の効果】
以上、詳細に説明したように本発明に係るテスト機能を有する論理回路によれば、LSIの故障検出用のスキャンF/F機能を実際の論理回路におけるスキャンF/Fテストやプログラムのデバッグ機能として用いることにより、論理回路に大幅な変更や回路素子の追加などを行なわなくても、論理回路の内部状態を外部へ出力することができ、簡単な回路構成の追加で論理回路上で動作中のプログラムに含まれるバグ等の不具合を外部から確認することができる。
【0046】
また、論理回路に搭載されたスキャンF/F機能は、フリップ/フロップ回路のような論理回路が製品として完成したときに回路上の不具合を検出するための重要な機能ではあるが、製品として出荷された後はほとんど用いられることはなかった。本発明によれば、論理回路が購入されて使用が開始された後にもこのスキャンF/F機能を用いてプログラムのデバッグを行なうことができるような構成を設けておくことで、実機として動作させる場合にも有効に再利用でき、回路面積を大幅に増加させなくても正確なテスト機能を実現することができる。
【図面の簡単な説明】
【図1】本発明の第1実施形態に係るテスト機能を有する論理回路の基本構成を示すブロック図である。
【図2】本発明の第2実施形態に係る論理回路の構成を示すブロック図である。
【図3】本発明の第3実施形態に係る論理回路の構成を示すブロック図である。
【図4】本発明の第4実施形態に係る論理回路の構成を示すブロック図である。
【図5】本発明の第5実施形態に係る論理回路の構成を示すブロック図である。
【図6】従来の論理回路の機能としてのスキャンF/F回路を示すブロック図である。
【符号の説明】
3 データ選択回路
4 スキャン制御回路
5 プロセッサ命令
6 プロセッサ
10 スキャンクロック選択回路
11 スキャンクロック外部出力端子
ディスエーブル信号
12 ディスエーブル信号入力端子
イネーブル信号
13 イネーブル信号出力端子
14 内部ストール信号
18 ローテイト信号
19 ステップ信号
21 初段のスキャンF/F回路
22 次段のスキャンF/F回路
23 最終段のスキャンF/F回路
31 第1の論理回路グループ
32 第2(中間)の論理回路グループ
33 第3(最終)の論理回路グループ
35 グループ制御回路

Claims (6)

  1. スキャンクロック信号が各段のクロック入力端子に供給されると共に前段のスキャン出力端子からの信号が次段のスキャン入力端子に供給されて順次に論理演算するように接続された複数段のスキャンF/F回路と、
    前記複数段のスキャンF/F回路の最終段のスキャンF/F回路のスキャン出力端子からの信号を帰還させる帰還信号線と、
    外部から供給される外部スキャン入力信号と、前記最終段のスキャンF/F回路から帰還される前記信号との何れかを選択して初段のスキャンF/F回路のスキャン入力端子に供給するデータ選択回路と、
    前記データ選択回路に対して、前記最終段のスキャンF/F回路のスキャン出力端子からの信号を前記初段のスキャンF/F回路のスキャン入力端子に供給させるための制御信号を供給して、内部スキャンモードにおける前記複数段のスキャンF/F回路内の各段のスキャンF/F回路の動作状態を制御するスキャン制御回路と、
    前記データ選択回路に帰還される前記最終段のスキャン出力端子からの信号を外部へと取り出す外部スキャン出力端子と、
    外部から供給された外部スキャンクロック信号と、内部で生成されて供給された内部スキャンクロック信号と、の何れかを、前記スキャン制御回路からのクロック選択用の制御信号により選択してスキャンクロック信号として前記複数段のスキャンF/F回路の各段のクロック入力端子に供給するクロック選択回路と、
    前記クロック選択回路により選択されて各段のスキャンF/F回路のそれぞれのクロック入力端子へと供給されるスキャンクロック信号を外部へと取り出す外部クロック出力端子と、
    前記複数段のスキャンF/F回路を外部から動作させるディスエーブル信号を前記スキャン制御回路に入力して外部スキャンF/Fモードを設定するためのディスエーブル信号入力端子と、
    前記スキャン制御回路により設定された外部スキャンF/Fモードで前記複数段のスキャンF/F回路内部での動作が循環していることを知らせるイネーブル信号を外部に取り出すためのイネーブル出力端子と、
    を備え
    前記スキャン制御回路は、前記イネーブル信号を前記イネーブル出力端子より外部へ出力すると共に、前記複数段のスキャンF/F回路の内部で動作が循環している間に該イネーブル信号と同様の内部ストール信号を内部回路にも供給してこれら内部回路のクロックを全て停止させることを特徴とするテスト機能を有する論理回路。
  2. 前記スキャン制御回路は、予めプログラムに組み込まれたプロセッサ命令に基づいて、前記データ選択回路に対して前記制御信号を供給することを特徴とする請求項1に記載のテスト機能を有する論理回路。
  3. 前記プログラムに組み込まれたプロセッサ命令は、縮小命令セットコンピュータ命令である請求項2に記載のテスト機能を有する論理回路。
  4. 前記外部スキャンクロック出力端子、前記クロック出力端子、前記イネーブル出力端子に接続され、前記内部スキャンクロック信号が前記外部クロック出力端子を介して外部に出力されたときのクロックの速度が外部信号としては速すぎる場合に前記外部スキャン出力端子から出力されるシリアルデータをパラレルデータに変換するためのシリアル/パラレル変換回路をさらに備えることを特徴とする請求項1に記載されたテスト機能を有する論理回路。
  5. 前記スキャン制御回路は、前記スキャンクロック信号、前記プロセッサ命令、前記ディ スエーブル信号に加えて、前記複数段のスキャンF/F回路の内部での循環動作が1回巡回した後にプログラムを1サイクル進めてから前記複数段のスキャンF/F回路の内部での循環動作を再び循環させるためのローテイト信号と、前記ローテイト信号が入力されているときにプログラムの循環動作を1サイクル進めた後の内部状態を出力させてから循環動作を停止させるためのステップ信号と、を入力することを特徴とする請求項1ないし4の何れか1項に記載されたテスト機能を有する論理回路。
  6. 少なくとも、前記複数段のスキャンF/F回路と、前記データ選択回路と、前記クロック選択回路と、前記スキャン制御回路と、を含む1つのグループ論理回路を複数グループ備えることを特徴とする請求項1ないしの何れか1項に記載されたテスト機能を有する論理回路。
JP2003084586A 2003-03-26 2003-03-26 テスト機能を有する論理回路 Expired - Fee Related JP4131937B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003084586A JP4131937B2 (ja) 2003-03-26 2003-03-26 テスト機能を有する論理回路
US10/808,421 US7313742B2 (en) 2003-03-26 2004-03-25 Logic circuitry having self-test function

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003084586A JP4131937B2 (ja) 2003-03-26 2003-03-26 テスト機能を有する論理回路

Publications (2)

Publication Number Publication Date
JP2004294167A JP2004294167A (ja) 2004-10-21
JP4131937B2 true JP4131937B2 (ja) 2008-08-13

Family

ID=33399727

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003084586A Expired - Fee Related JP4131937B2 (ja) 2003-03-26 2003-03-26 テスト機能を有する論理回路

Country Status (2)

Country Link
US (1) US7313742B2 (ja)
JP (1) JP4131937B2 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5408052B2 (ja) * 2010-06-23 2014-02-05 富士通セミコンダクター株式会社 集積回路、シミュレーション装置、及びシミュレーション方法
US10215803B1 (en) * 2014-10-15 2019-02-26 Santiago Remersaro Method and apparatus for concurrent inter-test response compaction and diagnosis

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3623020A (en) * 1969-12-08 1971-11-23 Rca Corp First-in first-out buffer register
US3671947A (en) * 1970-09-28 1972-06-20 Ibm Error correcting decoder
GB1425421A (en) * 1972-01-31 1976-02-18 Hitachi Ltd Photosensor driving system
US4188665A (en) * 1977-11-29 1980-02-12 International Business Machines Corporation Programmable communications subsystem
US4151510A (en) * 1978-04-27 1979-04-24 Honeywell Information Systems Method and apparatus for an efficient error detection and correction system
US4811345A (en) * 1986-12-16 1989-03-07 Advanced Micro Devices, Inc. Methods and apparatus for providing a user oriented microprocessor test interface for a complex, single chip, general purpose central processing unit
JP3529401B2 (ja) 1993-02-24 2004-05-24 株式会社東芝 集積回路
US5504756A (en) * 1993-09-30 1996-04-02 Intel Corporation Method and apparatus for multi-frequency, multi-phase scan chain
JPH07154214A (ja) * 1993-11-26 1995-06-16 Nec Corp ディジタル信号処理回路
US5668817A (en) * 1996-07-11 1997-09-16 Northern Telecom Limited Self-testable digital signal processor and method for self-testing of integrating circuits including DSP data paths
US5991898A (en) * 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
US6105154A (en) * 1998-05-29 2000-08-15 Lucent Technologies, Inc. Multi-bus multi-data transfer protocols controlled by a bus arbiter coupled to a CRC signature compactor
JP2001147821A (ja) * 1999-09-10 2001-05-29 Toshiba Corp プロセッサ
US6877119B2 (en) * 2001-09-14 2005-04-05 Stmicroelectronics Limited Circuit scan output arrangement

Also Published As

Publication number Publication date
US7313742B2 (en) 2007-12-25
JP2004294167A (ja) 2004-10-21
US20050005211A1 (en) 2005-01-06

Similar Documents

Publication Publication Date Title
US10281524B2 (en) Test partition external input/output interface control for test partitions in a semiconductor
US4720811A (en) Microprocessor capable of stopping its operation at any cycle time
US6101457A (en) Test access port
US5708773A (en) JTAG interface system for communicating with compliant and non-compliant JTAG devices
US5173904A (en) Logic circuits systems, and methods having individually testable logic modules
US4860290A (en) Logic circuit having individually testable logic modules
US20160349320A1 (en) Remote bus wrapper for testing remote cores using automatic test pattern generation and other techniques
US20020040458A1 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
JPH0431931A (ja) 情報処理装置のテスト容易化回路
JPS5833576B2 (ja) 計算機システムの故障診断装置
US8046648B1 (en) Method and apparatus for controlling operating modes of an electronic device
US6260166B1 (en) Observability register architecture for efficient production test and debug
JP4265934B2 (ja) スキャンパス回路およびそれを備える論理回路ならびに集積回路のテスト方法
JP2005300308A (ja) 半導体集積回路
JP4131937B2 (ja) テスト機能を有する論理回路
JPS60239836A (ja) 論理回路の故障診断方式
JP4176944B2 (ja) 半導体集積回路及び記録媒体
Josephson et al. Test methodology for the McKinley processor
JP2005190112A (ja) マイクロコンピュータ及びそのデバッグ方法
US6445205B1 (en) Method of testing integrated circuits
JP2005257366A (ja) 半導体回路装置及び半導体回路に関するスキャンテスト方法
JP4610919B2 (ja) 半導体集積回路装置
US20050289421A1 (en) Semiconductor chip
US9557382B1 (en) Inter-block scan testing with share pads
JP2004004047A (ja) 集積回路のための入力/出力特徴付けチェーン

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050715

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080508

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080523

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080529

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120606

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees