JP4130529B2 - 光ハーフトーン化マスクを用いた微細パターンエッジ同調方法 - Google Patents
光ハーフトーン化マスクを用いた微細パターンエッジ同調方法 Download PDFInfo
- Publication number
- JP4130529B2 JP4130529B2 JP2000522498A JP2000522498A JP4130529B2 JP 4130529 B2 JP4130529 B2 JP 4130529B2 JP 2000522498 A JP2000522498 A JP 2000522498A JP 2000522498 A JP2000522498 A JP 2000522498A JP 4130529 B2 JP4130529 B2 JP 4130529B2
- Authority
- JP
- Japan
- Prior art keywords
- bias correction
- pattern
- resolvable
- mask
- correction pieces
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims description 55
- 230000003287 optical effect Effects 0.000 title description 25
- 238000012937 correction Methods 0.000 claims description 116
- 238000004519 manufacturing process Methods 0.000 claims description 23
- 238000000206 photolithography Methods 0.000 claims description 14
- 230000008569 process Effects 0.000 claims description 9
- 239000000758 substrate Substances 0.000 claims description 8
- 238000001459 lithography Methods 0.000 claims description 7
- 238000003384 imaging method Methods 0.000 claims description 6
- 230000003796 beauty Effects 0.000 claims 1
- 230000000694 effects Effects 0.000 description 30
- 230000010363 phase shift Effects 0.000 description 18
- 239000011295 pitch Substances 0.000 description 14
- 238000010894 electron beam technology Methods 0.000 description 10
- 230000004075 alteration Effects 0.000 description 8
- 238000009826 distribution Methods 0.000 description 8
- 238000005286 illumination Methods 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 7
- 230000008901 benefit Effects 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 230000005540 biological transmission Effects 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 4
- 238000002834 transmittance Methods 0.000 description 3
- 230000007423 decrease Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000006073 displacement reaction Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 206010010071 Coma Diseases 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 210000001747 pupil Anatomy 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/26—Phase shift masks [PSM]; PSM blanks; Preparation thereof
- G03F1/32—Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/36—Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
- G03F7/2026—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction
- G03F7/2028—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction of an edge bead on wafers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/705—Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
Description
【0001】
半導体製造技術が光リソグラフィ技術の限界に向かって急速に突き進んでゆくにつれて、現在までのところ最先端の技術を結集したプロセスは露光波長λより小さい短寸法を示すパターンを有する集積回路を正常に製造してきた(回路の「短寸法」はパターンの最小幅もしくは2つのパターン間の最小距離として定義される)。露光波長より小さい寸法で設計される図形パターンの場合、光近接効果がさらにひどくなり、実際に最先端の露光波長未満寸法の製造プロセスに耐えられなくなっていることが分かっている。
【0002】
光近接効果は光投影露光装置の良く知られた特性である。すなわち、近接効果は、非常に近接した間隔で設けられた回路パターンがウェハ上のレジスト層にリソグラフィ技術により転写される際に発生する。近接間隔の回路パターン同士の光波は相互に作用して最終的な転写パターンの図形を歪ませることになる。言い換えれば、回折により、隣接するパターン同士がパターンに左右された異形を生み出すように相互作用する。あるパターンに対する光近接効果の大きさはそのパターンの他のパターンに対するマスク上の相対位置に左右される。パターン間距離が近いほどパターン間の光近接効果は強くなる。
【0003】
そのような近接効果がもたらす主な問題の1つは、パターンの短寸法の好ましくないむらである。全ての最先端の半導体プロセスにとって、パターン(すなわち、回路素子及び配線)の短寸法の厳密な制御を実現することは、最終製品のウェハ品質歩留まり(wafer sort yield)及び素早い分類保管(speed-binning)に直接効果があるので、製造上の第1の目標である。
【0004】
光近接効果がもたらす回路パターンの短寸法のむらはいくつかの方法で低減できることが知られている。そのような技術の1つは露光装置の照明特性を調整することである。すなわち、結像用対物レンズの開口数NAoに対する照明用集光レンズの開口数NAcの比(この比は部分干渉比σと称されている)を入念に決めることにより、光近接効果の度合いをある程度操作することができる。この部分干渉比は以下のように定義される。
σ = NAc/ NAo
【0005】
一般的に言って、部分干渉比σが高くなるにつれて照明の干渉性が低下する。すなわち、照明源の干渉性が低いほど光近接効果は小さくなる。極端な場合、部分干渉比σが0.7より大きければ、光近接効果は以下に定義するような孤立パターンから半孤立パターンまでの範囲内のパターンピッチ(FP)に関してほぼ最小限に抑えることができる。経験上、0.55未満の開口数の対物レンズを有する最近の露光装置によれば、パターンの配設(packing)は隣り合うパタ−ン同士の場合「ピッチ」という言葉で表現されることが多い。本発明の説明のみならず以下の事柄の説明のためにも、パターンピッチ(FP)を次の4つの部類に細分する。
a)高密度パターン:FP < 2λ
b)半高密度パターン:2λ・ FP < 3λ
c)半孤立パターン:3λ・ FP < 5λ
d)孤立パターン:FP ・ 5λ
但し、パターンピッチ (FP) = パターン短寸法 + パターン間距離
【0006】
上記のような比較的非干渉性の照明を使用することに加え、マスクパターンを「前補正」することによっても光近接効果を補償することができる。この種の技術は一般に光近接効果補正技術として知られている。
【0007】
例えば、本発明の譲受人に対して譲渡された米国特許5242770号(770特許)には、光近接効果補正のために散乱バーを使用する方法が記述されている。770特許は、散乱バーによる方法が孤立パターンを修正して高密度パターンであるかのような振る舞いをさせるのに非常に有効であることを示している。そのようにすることで、孤立パターンの焦点深度も向上し、プロセス余裕度を大幅に高めることができる。散乱バー(光強度均整バーとも補助バーともいう)は、孤立パターンのエッジ部分のエッジ光強度勾配を調整するためにマスク上の孤立パターンに近接して配置された(露光装置では通常解像不可能な)補正パターンである。好ましくは、孤立パターンのエッジ部分の調整後のエッジ光強度勾配が高密度パターンのエッジ部分のエッジ光強度勾配と一致することにより、散乱バー付孤立パターンに高密度のパターンとほぼ同じ幅を持たせることができる。
【0008】
「散乱バー」による光近接効果補正は孤立パターンと高密度パターンとを合わせる有効な方法ではあるが、標準型の散乱バーは半孤立パターンのピッチとともに使用されるとき「光の重み」を必要以上に伝送し、結果的に過補正を招くことになる。1997年2月28日出願の米国特許出願08/808587号において、本発明の出願人は半孤立パターンに対してさらに高精度の短寸法補正を得る必要性に対処する「パターン詰め込み(feature crowding)」光近接効果補正方法を開示している。そこに記載された方法は、光の重みを軽くした散乱バーを採用することによって半孤立パターンのより高精度な処理を可能にしている。
【0009】
具体的には、図1に示すように、08/808587出願の「パターン詰め込み」散乱バー方法は、2種類の新規な散乱バー、すなわち、標準型散乱バー14の幅の何分の一の幅を有する細幅散乱バー12と断続形散乱バーとも称するハーフトーン型散乱バー16とを導入している。ハーフトーン型散乱バーは標準型散乱バーと同じ幅であるが、ハーフトーンの半遮光膜を用いた複数の断片状に断続されている。
【0010】
パターン18間の込み合ったスペースでは、光の重みが散乱バー幅に正比例している。したがって、細幅散乱バーの幅を小さくすることにより、細幅散乱バーをさらに狭いパターンスペース内に詰め込むことが可能である。しかしながら、細幅散乱バーの最小幅はマスク製造プロセスによって制限されている。今日の最先端のマスク製造プロセスにおいて、製造可能な最小限の細幅散乱バー幅はレチクル(すなわち、パターン)上で約0.24μmである。この寸法は、倍率1倍のウェハスケールでは、0.06μmの細幅散乱バー幅に相当する。そのような訳で、0.06μm未満の光の重みが必要な場合、ハーフトーン型散乱バーを採用する必要がある。
【0011】
ハーフトーン型散乱バーは上記のマスク製造上の限界を回避するために開発された。ハーフトーン型散乱バーは標準型散乱バーと同じ幅を有するので、マスクの製作と検査が容易になる。さらに、(図2に基づいて下記に定義される)ハーフトーン型散乱バーのハーフトーン周期を調整することにより、所望の光の重みを得ることができる。例えば、50%の光の重みを得るためには、図2に示すように50%(d=s)のハーフトーン周期が必要である。
ハーフトーン周期(HTP) =d+s
d=sの場合、%H=(d/HTP)*100% = 50%
【0012】
図2に示すように、dとsの寸法比を調整することにより、%Hを変動させて標準型散乱バーに対して相対的な所望の光の重みを得ることができる。このハーフトーン型散乱バー方法は光の重みをマスク製造プロセスにより課せられた連続形散乱バーの製造可能最小限幅より小さい値にまで延ばすことができる。例えば、0.1μm幅の標準型散乱バーを基準として使用すると、25%ハーフトーン型散乱バーは0.025μm幅散乱バーに相当する。これは今日の最先端のマスク製造プロセスにより達成可能な0.06μm幅の細幅散乱バーより遥かに細い。
【0013】
08/808587出願の「パターン詰め込み」光近接効果補正方法は半孤立パターンの場合の短寸法制御に効果的であるが、半高密度ピッチ範囲のパターン間に適切な寸法の散乱バーを挿入することは物理的に不可能である。このタイプのパターンの場合、光近接効果を補償するために「バイアス補正(biasing)」を利用する必要がある。
【0014】
従来のパターンバイアス補正方法は、主パターンに対して所定量を加減することによって主パターンの短寸法を修正する必要がある。例えば、0.18μm幅主パターンに対して総量で+0.02μmのバイアス量で、主パターン幅を0.20μmに変えることができる。このタイプのバイアス補正は、マスク描画装置が必要なバイアス量を解像することができる限り、半高密度パターンにとって申し分のない光近接効果補正技術である。
【0015】
縮小倍率4対1の遠紫外線露光ウェハパターンに対して+0.02μm幅の微細パターンバイアス補正を行うためには、レチクルに対して+0.08μm(すなわち、0.02μm×4)のバイアス量が必要である。さらに、パターンの対称性を確保するとともに位置ずれを回避するために、このバイアス量の半分を各候補パターンの各エッジに加えなければならない。この場合、各辺の必要バイアス量は+0.01μmである。倍率4倍においても、0.04μmに過ぎない。このようなパターンをラスタ走査型の電子ビームマスク描画装置によって結像するためには、最新の電子ビーム描画装置上に受け入れ可能であるが標準の6インチサイズマスクで20時間を越える描画時間を必要とする0.04μm径のアドレス部(address unit)が必要になるであろう。このような長い描画時間は、実用的でなく製造上の観点から容認できないことは明らかである。容認可能な製造上の電子ビーム描画時間はマスク当たり3時間ないし6時間程度である。
【0016】
さらに、このような微細パターンバイアス補正にレーザマスク描画装置を使用することは、十分な解像力に欠けるために実用的な選択肢とは言えない。このように、半高密度パターンピッチ範囲のパターンに超微細バイアス補正を利用することを躊躇させるコスト面での高い障壁がある。それでもやはり、半高密度ピッチ範囲のパターンに対して何らかの形で微細パターンバイアス補正をしなければ、これらのピッチ範囲をレイアウトの設計ルールで実現させることはできない。
【0017】
半導体業界は(空間周波数変調型位相シフトマスク等の)光学解像力向上技術を光リソグラフィ技術との組合せで採用し始めているので、光リソグラフィは0.5λに近い最小パターン寸法を実現することが期待されている。このような露光波長よりかなり小さい回路設計ルールの場合、半高密度パターン(2λないし3λの範囲内のパターンピッチ)がますます稀でなくなる。したがって、半導体業界は最先端の製造プロセス用の半高密度パターンの実用的な光近接効果補正解決策をすぐにも必要とすることになる。本発明の目的はこの問題に対する解決策を提供することである。
【0018】
したがって、本発明の目的は高密度及び半高密度パターンの微細パターンバイアス補正を可能にして光近接効果を補償するコスト的に有効で実用的な方法を提供することである。
【0019】
具体的に、本発明は、露光装置を利用して集積回路に対応するリソグラフィパターンを半導体基板に光転写するマスクを製作する方法に関する。この方法は、集積回路を構成する素子に対応する複数のパターンをマスク上に形成する工程と、少なくとも1つの上記パターンのエッジに設けられる複数の解像不可能なバイアス補正片を形成する工程とを備えている。本発明はさらに、露光装置を利用して集積回路に対応するリソグラフィパターンを半導体基板に光転写する光リソグラフィマスクに関する。このマスクは、集積回路を構成する素子に対応する複数のパターンと、少なくとも1つの上記パターンのエッジに設けられた複数の解像不可能なバイアス補正片とを備えている。
【0020】
以下に詳細に説明するように、本発明の方法及び装置は従来技術に優る重要な利点をもたらす。最も重要な点は、本発明が非現実的なほど小径の電子ビームアドレス部やスポットサイズを使用することなく非常に微細なパターンの寸法化を可能にして大幅なコストの節減を実現するハーフトーンバイアス補正方法を提供することである。実際には、このハーフトーンバイアス補正方法は最新のマスク製造プロセスを利用してナノメータ単位のパターンバイアス補正を可能にし、散乱バーが使用できない半高密度及び高密度パターンの場合に光近接効果補正処理を実現することができる。
【0021】
さらに、本発明の新規なハーフトーンバイアス補正技術を一定のパターンに対して左右非対称的に適用することにより、レンズ収差に起因するレジストパターンの配置のずれを補償する微細位置補正を実現することができる。
【0022】
上記非対称ハーフトーンバイアス補正技術は空間周波数変調型位相シフトマスクの位相シフトスペースと非位相シフトスペースとの間の光透過率の不均衡の結果発生する空間像の光強度分布不均整を均すことにも有効である。
【0023】
非対称ハーフトーンバイアス補正技術のもう1つの利点は、「グリッド外れの(grid-snapped)」パターンを本来意図した配置にほぼ合致するよう修正及び/または補正するために利用することができるという点である。
【0024】
本発明のさらに別の利点はこの業界の技術者にとって以下に詳述する本発明の実施の形態から明らかになるであろう。
【0025】
本発明は、その更なる目的及び利点とともに、以下の詳細な説明と添付の図面に基づくことによりさらに深く理解することができる。
【0026】
以下の詳細な説明はパターンの短寸法とピッチを制御して上記に定義した高密度及び半高密度パターンの光近接効果補正を実現する新規なバイアス補正技術に関する。この新規なバイアス補正技術は、主パターンのエッジ部分に直にハーフトーン化技術を適用することにより、主パターンの超微細寸法化を実現するものである。
【0027】
図3は、本発明の一実施形態にかかる光リソグラフィマスクの分解図である。図に示すように、各パターン30は該パターン30のエッジ35に沿って配設された複数のバイアス補正片32を有している。図3の例では、バイアス補正片32は各パターン30の対向する両側のエッジ35に沿って配設されている。バイアス補正片32は各エッジ35に沿って歯状のパターンを形成しており、ほぼ正方形を呈するように表されている。しかしながら、実際には、バイアス補正片32はわずかに角が丸くなった形状を示す傾向がある。図3に示す寸法は以下のように定義する。
【0028】
d:バイアス補正片の長さ
s:隣り合うバイアス補正片間の距離
w:バイアス補正片の幅
一般に、wはラスタ走査型電子ビームマスク描画装置に使用される電子ビームのスポットサイズに相当する。通常使用されるスポットサイズはこの業界の4倍遠紫外線露光レチクルの場合0.05μmないし0.125μmの範囲で変わる。
【0029】
上述したように、パターンピッチ(FP)、バイアス補正片32のハーフトーン周期(HTP)及びハーフトーン化率(%H)を以下のように定義する。
FP = パターン短寸法 + パターン間距離
HTP = d+s
%H =(d/HTP)*100%
【0030】
重要なことは、本発明によればバイアス補正片32が光リソグラフィプロセスに利用される露光装置によっては解像不可能でなければならないという点である。これにより、パターン30の各エッジ35に沿って配設されたバイアス補正片32のハーフトーン周期は解像度より低く保持されることが必要になる。ハーフトーン周期を解像度より低く保つためには、レイリー基準に従って以下の式を満たす必要がある。
HTP<k1(λ/NAo)
但し、k1=0.61、λは露光光源の波長、NAoは露光光源の結像用対物レンズの開口数である。
【0031】
例えば、露光波長λ=248nm、開口数NAo=0.57のKrFエキシマレーザ遠紫外線露光装置の場合、ハーフトーン周期は倍率1倍のウェハスケールで0.25μm(すなわち、倍率4倍のレチクルスケールで1.0μm)未満である必要がある。この場合、ハーフトーン周期が0.25mを超えるまで許容されるとすれば、ハーフトーン化の平滑性が低下し、パターン30のエッジに沿ってうねりが顕著に出現し始める。
【0032】
バイアス補正片32は、正のバイアスを生じるオリジナルパターンの外側への付加として、あるいは負のバイアスを生じるパターンエッジに沿う内側への切欠きとして適用することができる。図3は正のバイアスの一例を示し、図4は負のバイアスの一例を示す。具体的には、図4に示すように、パターンエッジに沿って切欠き37が形成されることによって負のバイアス補正片が構成される。
【0033】
図3は、パターン30の隣り合うエッジ35(あるパターンの対向するエッジ同士あるいは隣り合う2つのパターン間で対向するエッジ同士)間のバイアス補正片32の配置が互いに一致していないことを意味する位相はずれバイアス補正片の一例を示す。図3に示すように、位相はずれバイアス補正片32は水平方向でずれている。なお、「位相はずれ」とはバイアス補正片が1/2周期だけずれる場合も含んでいる。これと異なり、図3のバイアス補正片32が同相になれば、水平方向に揃うことになる。しかしながら、上記のハーフトーン周期の規則が遵守される限り、同相及び位相はずれの両方のバイアス補正片32はともに同じ%Hに対して等しい短寸法結果をもたらすことになる。
【0034】
表1は%H(dとsの比率)を調整することによってハーフトーンバイアスが微同調しうる様子の一例を示す。以下の例では、ハーフトーン周期を0.25μmに固定し、ハーフトーンバイアス補正片の幅をエッジあたり0.020μmにした状態でハーフトーン周期規則が満たされている。パターン短寸法は、パターンピッチが0.54μm(2.18λ)のとき、0.18μmである。露光条件として、照明用レンズの部分干渉比σ=0.80、結像用レンズの開口数NAo=0.57のKrFエキシマレーザステッパを使用した。使用された遠紫外線レジストはシプレー社製UV5(厚さ0.61μm)であり、ポリシリコン下地基板上面にシプレー社製AR2底面反射防止膜(厚さ600A)をコーティングした状態で使用された。
【0035】
【表1】
【0036】
表1に示す結果から分かるように、結果として得られたパターン短寸法は%Hの変化に正に応答している。100%Hは0.040μmの総バイアス量に等しい。例えば、遠紫外線レジスト加工は、測定後のレジスト短寸法バイアス量が100%Hで+0.040μmとなるよう同調されている。このように、本発明のバイアス補正片をパターンのエッジに直に適用することにより、そのパターンの短寸法の微調整が可能になる。さらに、以下にさらに詳細に説明するように、パターンの一方のエッジのみにバイアス補正片を付加してその一方のエッジのみを調整することも可能である。
【0037】
この明細書では全ての組合せを開示しないが、上述したように、ハーフトーンバイアス補正片32は増やすやり方でも減らすやり方でも(正のハーフトーンバイアスでも負のハーフトーンバイアスでも)適用することが可能である。正のハーフトーンバイアスの例は図3及び表1で示されており、バイアス補正片は主パターンの短寸法を増加させるために利用されている。逆に、図4に示すような負のバイアスであれば、主パターンの短寸法を減少させるよう作用する。正のバイアスがパターンエッジにバイアス補正片を付加するのとは異なり、バイアス補正片をパターンエッジに沿う一部を除去することによって形成することを除けば、負のバイアス補正片は正のバイアス片と同じ方法で形成される。どちらの場合も、結果として得られるエッジは歯状の外観を呈することになる。バイアス補正片はさらに暗視野のマスクにも明視野のマスクにも対等に適用することができる。
【0038】
図5は照明光学系の部分干渉比σについてもレジストのラインパターンで得られる最終的なパターン短寸法に影響を及ぼすよう変化させた場合の実験結果を示す。これら3種類のハーフトーン化率%Hのレベルは全て同じ傾向、すなわち、部分干渉比σが低いほど(干渉性が高いほど)短寸法のバイアス量が大きくなることを示している。なお、実験の条件については表1に基づいている。
【0039】
部分干渉効果もまた暗視野マスク上の典型的な「ホール」パターンや「スペース」パターンを通してより明るい空間像光強度を得るのに有利である。部分干渉比σが小さいほどホールパターンやスペースパターンの短寸法が大きくなることが予測される。暗視野パターンは、明視野パターンと同様に部分干渉比σとハーフトーン化率%Hの変化に予測可能に応答する。
【0040】
さらに、図5に示すように、一定のハーフトーン化率%Hにおいては、測定後の実際のパターン短寸法が部分干渉比の設定の変化とともに線形の軌跡を描いて変化しているように思われる。部分干渉比の設定が低い場合ほど(干渉性が高い照明の場合ほど)、例えば部分干渉比が0.3のとき、大きな短寸法が生じることになる。逆に、部分干渉比設定が高いほど(非干渉性が高い照明ほど)短寸法が小さくなる。この特性はウェハへの印刷に使用される実際の部分干渉比設定を監視するために利用可能である。
【0041】
1パターンの対向する両エッジに対して異なるハーフトーン化率%Hを与えることによりパターンの位置を微同調させることも可能である。例えば、パターンの左側エッジが20%Hの処理にかけられる一方その右側のエッジが80%Hの処理にかけられる場合、そのパターンの短寸法は増加するが、その図心も右側にわずかにずれて、事実上パターンを「微細に位置出しする」ことになる。この効果を図6に示す。
【0042】
この「微細位置」調整効果はレンズの収差に起因する位置のずれを抑えるために利用することができる。例えば、最先端のKrFエキシマレーザステッパ等の近回折限界(near-diffraction-limited)露光装置の場合、レンズ瞳面でλ/32に近い波面収差量が考えられる。この効果を算出するために、−0.03X(ゼルニケ多項式のZ7)のX次のコマレンズ収差(X-coma lens aberration)が利用される。また、図6に示す同じ非対称ハーフトーンバイアス補正係数が使用される。その結果得られるレジストパターンを図7(a)及び7(b)に示す。
【0043】
すなわち、図7(a)は何の補正もなくレンズ収差に影響された結果得られたパターンの位置を示す。図7(b)は本発明の結果得られる「微細位置」調整を利用した「補正された」パターンを示す。実際に、この位置補正効果は図7(b)に示す左右非対称にバイアスされた場合に非常に明らかに現れている。この例においては、非対称バイアス補正片の使用はパターンを右側にずらすことによってレンズ収差を補正する作用をしている。
【0044】
本発明のバイアス補正片は空間周波数変調型位相シフトマスクの光強度均整化を実行するためにも使用可能である。すなわち、図8は複数の主パターン70を有する空間周波数変調型位相シフトマスクのラインパターンを示す。図に示すように、これらのパターンは、図7で開示された適用例と同様に左右非対称に付加されたバイアス補正片32を有している。図8の空間周波数変調型位相シフトマスクは縮小倍率4対1のKrFエキシマレーザ露光装置で結像するために設計された。この適用例の場合、非対称バイアス補正片の機能は0度位相シフト領域(0度スペース)と180度位相シフト領域(180度スペース)との間の光強度分布の差を「均す」ことである。光強度分布のデルタ状部分(delta)は180度スペースにおける光透過損失により引き起こされることが知られている。理想的には、0度スペースの透過率も180度スペースの透過率もともに100%に近い数値であるべきである。しかしながら、一般的な空間周波数変調型位相シフトマスク製造プロセスは、180度スペースを形成するためにエッチング加工を必要とするので、エッチング領域において測定可能な量の透過損失を招く。10%の高い透過損失が180度スペースで観察された。このような透過率の不均衡は0度スペースと180度スペースとの間に形成されるレジストパターン70の両側壁を不均一にする恐れがある。その最終的な影響はパターン短寸法のむらである。
【0045】
この問題を補正するためには、ほぼ40%H(0.02μm)のパターンバイアス補正が必要である(図9参照)。このバイアス量は0.008μmのエッジバイアス量に相当する。このバイアス度は、0.032μm径電子ビームアドレス部を必要とし、現在の最先端のプロセスを使用しても実用的でない。その一方、本発明のハーフトーンバイアス補正技術を利用すれば、倍率4倍のレチクルに0.1μm径電子ビームアドレス部を使用して上記のバイアス量を達成することができる。
【0046】
なお、図8に示す空間周波数変調型位相シフトマスクに対する非対称バイアス補正片の適用例では、40%ハーフトーンバイアスを幅w=0.02μm、長さd=0.10μm、ハーフトーン周期HTP=0.25μmで付与した。バイアス補正片は0度スペースに隣接するパターンエッジに対してのみ付加され、180度スペースにはハーフトーンバイアスをかけなかった。主パターン短寸法は0.14μmであり、主パターンピッチ(FP)は0.30μmであった。
【0047】
再び図9にみるように、空間周波数変調型位相シフトマスクに対して非対称バイアス補正片を適用すると、0度スペースと180度スペースとの間の光強度分布のむらをなくすように作用していることが分かる。なお、非対称ハーフトーンバイアス補正による光強度分布均整化の上記の例は高密度パターン(KrFエキシマレーザの露光波長λ=0.248μmのときFP<2λ)に対して適用可能である。その同じ方法が半高密度パターンに対しても有効である。半孤立パターン及び孤立パターンの場合、光強度分布均整化の必要性は散乱バーで満たすことができる。
【0048】
本発明の非対称ハーフトーンバイアス補正技術は「グリッド外れの(grid-snapped)」パターンを本来意図した配置に変位させるためにも利用可能である。図10は非対称ハーフトーンバイアス補正レジストパターンと無補正(「グリッド外れの」)レジストパターンとの比較を示す。このグリッド外れ現象は最終的な電子ビームアドレス部がオリジナルの図面グリッドの整数倍でない場合に発生する。このような状況では、パターンエッジは最も近い整数倍のグリッドに外れ落ちてしまうことになる。この「グリッド外れ」現象はパターンの正確な配置を所望の位置からずらしてしまう。この現象は全ての最先端の集積回路製造にとって明らかに望ましくない。上記に詳述した本発明の非対称ハーフトーンバイアス補正技術を利用することにより、外れたパターン配置を修正し、さらに小径の電子ビームアドレスサイズを採用することなく本来の配置に近づけあるいは復帰させることが可能である。具体的には、必要な%Hを有する非対称バイアス補正片が「グリッド外れの」パターンのエッジに付加され、そのエッジ部分を所望の位置に偏倚させる。
【0049】
上述したように、本発明の方法及び装置は従来技術に優る重要な利点をもたらす。最も重要な点は、本発明が非現実的なほど小径の電子ビームアドレス部やスポットサイズを使用することなく非常に微細なパターンの寸法化を可能にして大幅なコストの節減を実現するハーフトーンバイアス補正方法を提供することである。実際には、本発明のハーフトーンバイアス補正方法は最新のマスク製造プロセスを利用してナノメータ単位のパターンバイアス補正を可能にし、散乱バーが使用できない半高密度及び高密度パターンの場合に光近接効果補正処理を実現することができる。
【0050】
さらに、本発明の新規なハーフトーンバイアス補正技術を一定のパターンに対して左右非対称的に適用することにより、レンズ収差に起因するレジストパターンの配置のずれを補償する微細位置補正を実現することができる。
【0051】
上記非対称ハーフトーンバイアス補正技術は空間周波数変調型位相シフトマスクの位相シフトスペースと非位相シフトスペースとの間の光透過率の不均衡の結果発生する空間像の光強度分布不均整を均すことにも有効である。
【0052】
非対称ハーフトーンバイアス補正技術のもう1つの利点は、「グリッド外れの(grid-snapped)」パターンを本来意図した配置にほぼ合致するよう修正及び/または補正するために利用することができるという点である。
【0053】
部分干渉比σもハーフトーンバイアス補正パターンの働きと相互に作用する。そのため、適切な同調を行えば、これらの効果の組合せは明視野パターン短寸法及び暗視野パターン短寸法の両方を変調するために利用可能であり、あるいはその一方の効果は部分干渉比の設定を監視するためにパターンの短寸法を利用することが可能である。
【0054】
本発明の具体的な実施形態の一部を開示してきたが、本発明はその精神や本質的な特性から離れることなくその他の様々な形で実施されてもよい。したがって、本発明の上記実施形態はあらゆる点で例示に過ぎず何ら本発明を限定するものではないと考えるべきであり、本発明の範囲は上記の説明ではなく特許請求の範囲によって示されており、それ故請求の範囲の意味やその等価物の範囲に属する全ての変形例が本発明に包含される。
【図面の簡単な説明】
【図1】 米国特許出願08/808587号記載の細幅散乱バーとハーフトーン型散乱バーを利用した「パターン詰め込み(feature crowding)」光近接効果補正方法の説明図。
【図2】 図1のハーフトーン型散乱バーのハーフトーン周期の定義を説明する図。
【図3】 正のバイアスを生じる本発明のハーフトーンバイアス補正技術の一実施形態を利用して形成されたマスクの一部の分解図。
【図4】 負のバイアスを生じる本発明のハーフトーンバイアス補正技術の一実施形態を利用して形成されたマスクの一部の分解図。
【図5】 レジストのラインパターンを形成するよう本発明を利用して得られた実験結果を示すグラフ。
【図6】 本発明にかかる非対称ハーフトーンバイアス補正技術を利用してパターンの微細位置を調整できることを示すグラフ。
【図7】 本発明にかかる非対称ハーフトーンバイアス補正技術を利用してレンズ収差を補正できることを示す図。
【図8】 空間周波数変調型位相シフトマスクの平行なラインパターンに適用された本発明にかかる非対称ハーフトーンバイアスを示す図。
【図9】 空間周波数変調型位相シフトマスクの平行なラインパターンに図8の非対称ハーフトーンバイアスを適用することによって得られた光強度分布の均整化を説明するグラフ。
【図10】 本発明のハーフトーンバイアス補正技術を利用して得られるグリッド外れ制御を説明する図。
Claims (10)
- 露光装置を利用して集積回路に対応するリソグラフィパターンを基板に光転写するマスクの製作方法であって、
集積回路を構成する素子に対応する複数のパターンをマスク上に形成する工程(a)と、
少なくとも1つの上記パターンのエッジに設けられる複数の解像不可能なバイアス補正片を形成する工程であって、上記少なくとも1つのパターンのエッジに設けられる複数の解像不可能なバイアス補正片を、互いに距離sの間隔を置いてほぼ均等に配設し、上記複数の解像不可能なバイアス補正片の各々を幅wと長さdとするとき、上記複数の解像不可能なバイアス補正片によってd+sに等しいハーフトーン周期を形成する、工程(b)と、
を備え、
上記マスクは波長λの露光光源と開口数NAoの結像用対物レンズとを備えた光リソグラフィプロセスで利用され、
工程(b)は、上記解像不可能なバイアス補正片のハーフトーン周期を0.61(λ/NAo)未満とすることを含み、
工程(b)は、上記複数の解像不可能なバイアス補正片間の上記間隔、及び、上記複数の解像不可能なバイアス補正片の上記長さを、向かい合うエッジに互いに異なるハーフトーン化率d/(d+s)を与えて上記基板上に結像された上記パターンの上記エッジの位置を調整するように調節することを含む、
マスク製作方法。 - 上記複数のパターンが3λ未満のパターンピッチを示す請求項1記載のマスク製作方法。
- 上記複数の解像不可能なバイアス補正片が上記複数のパターンの少なくともひとつの少なくとも二つのエッジに形成される請求項1記載のマスク製作方法。
- 上記複数の解像不可能なバイアス補正片が上記複数のパターンの少なくとも二つのエッジに形成される請求項1記載のマスク製作方法。
- 露光装置を利用して集積回路に対応するリソグラフィパターンを基板に光転写する光リソグラフィマスクであって、
集積回路を構成する素子に対応する複数のパターンと、
少なくとも1つの上記パターンのエッジに設けられた複数の解像不可能なバイアス補正片と、
を備え、
上記少なくとも1つのパターンのエッジに設けられる複数の解像不可能なバイアス補正片が互いに距離sの間隔を置いてほぼ均等に配設されており、
上記複数の解像不可能なバイアス補正片の各々が幅wと長さdを有するとともに、上記複数の解像不可能なバイアス補正片がd+sに等しいハーフトーン周期を形成しており、
上記マスクが波長λの露光光源と開口数NAoの結像用対物レンズとを備えた光リソグラフィプロセスで利用され、
上記解像不可能なバイアス補正片のハーフトーン周期が0.61(λ/NAo)未満であり、
上記複数の解像不可能なバイアス補正片間の上記間隔、及び、上記複数の解像不可能なバイアス補正片の上記長さは、向かい合う上記エッジに互いに異なるハーフトーン化率d/(d+s)が与えられるように規定されている、
光リソグラフィマスク。 - 上記複数のパターンが3λ未満のパターンピッチを示す請求項5記載の光リソグラフィマスク。
- 上記複数の解像不可能なバイアス補正片が上記複数のパターンの少なくともひとつの少なくとも二つのエッジに形成されている請求項5記載の光リソグラフィマスク。
- 上記複数の解像不可能なバイアス補正片が上記複数のパターンの少なくとも二つのエッジに形成されている請求項5記載の光リソグラフィマスク。
- パターンのエッジによって示されるバイアス量が該パターンに設けられた上記複数の解像不可能なバイアス補正片によって示されるdとsの比によって規定される請求項5記載の光リソグラフィマスク。
- 露光装置を利用して集積回路に対応するリソグラフィパターンをマスクから基板に光転写するマスクを製作するためのプログラムを記録した記録媒体であって、上記プログラムは、
上記マスク上に形成され、上記集積回路を形成する素子に対応する複数のパターンを決定する手順(a)と、
少なくとも1つの上記パターンのエッジに設けられる複数の解像不可能なバイアス補正片を生成する手順であって、上記少なくとも1つのパターンのエッジに設けられる複数の解像不可能なバイアス補正片を、互いに距離sの間隔を置いてほぼ均等に配設し、上記複数の解像不可能なバイアス補正片の各々を幅wと長さdとするとき、上記複数の解像不可能なバイアス補正片によってd+sに等しいハーフトーン周期を形成する、手順(b)と、
をコンピュータに実行させ、
上記マスクは、波長λの露光光源と開口数NAoの結像用対物レンズを備えた光リソグラフィプロセスで利用され、
手順(b)は、上記解像不可能なバイアス補正片のハーフトーン周期を0.61(λ/NAo)未満とすることを含み、
手順(b)は、上記複数の解像不可能なバイアス補正片間の上記間隔、及び、上記複数の解像不可能なバイアス補正片の上記長さを、向かい合うエッジに互いに異なるハーフトーン化率d/(d+s)を与えて上記基板上に結像された上記パターンの上記エッジの位置を調整するように調節することを含む、
記録媒体。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US6639697P | 1997-11-24 | 1997-11-24 | |
US60/066,396 | 1997-11-24 | ||
US09/055,355 | 1998-04-06 | ||
US09/055,355 US6114071A (en) | 1997-11-24 | 1998-04-06 | Method of fine feature edge tuning with optically-halftoned mask |
PCT/US1998/022252 WO1999027420A1 (en) | 1997-11-24 | 1998-10-23 | Method of fine feature edge tuning with optically-halftoned mask |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2003521720A JP2003521720A (ja) | 2003-07-15 |
JP4130529B2 true JP4130529B2 (ja) | 2008-08-06 |
Family
ID=26734137
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000522498A Expired - Fee Related JP4130529B2 (ja) | 1997-11-24 | 1998-10-23 | 光ハーフトーン化マスクを用いた微細パターンエッジ同調方法 |
Country Status (8)
Country | Link |
---|---|
US (1) | US6114071A (ja) |
EP (1) | EP1034457B1 (ja) |
JP (1) | JP4130529B2 (ja) |
KR (1) | KR100616590B1 (ja) |
AU (1) | AU1109999A (ja) |
DE (1) | DE69839944D1 (ja) |
TW (1) | TW364073B (ja) |
WO (1) | WO1999027420A1 (ja) |
Families Citing this family (92)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6360134B1 (en) * | 1998-07-20 | 2002-03-19 | Photronics, Inc. | Method for creating and improved image on a photomask by negatively and positively overscanning the boundaries of an image pattern at inside corner locations |
US6374396B1 (en) * | 1998-12-04 | 2002-04-16 | Micron Technology, Inc. | Correction of field effects in photolithography |
JP4057733B2 (ja) * | 1999-02-22 | 2008-03-05 | 株式会社東芝 | 転写パターンのシミュレーション方法 |
US6467076B1 (en) * | 1999-04-30 | 2002-10-15 | Nicolas Bailey Cobb | Method and apparatus for submicron IC design |
US6426269B1 (en) * | 1999-10-21 | 2002-07-30 | International Business Machines Corporation | Dummy feature reduction using optical proximity effect correction |
US6238825B1 (en) * | 1999-11-18 | 2001-05-29 | United Microelectronics Crop. | Mask with alternating scattering bars |
US6312856B1 (en) * | 1999-12-07 | 2001-11-06 | United Microelectronics Corp. | Half-tone phase shift mask for fabrication of poly line |
AU2001241496A1 (en) * | 2000-02-14 | 2001-08-27 | Asml Masktools B.V. | A method of improving photomask geometry |
US6584609B1 (en) * | 2000-02-28 | 2003-06-24 | Numerical Technologies, Inc. | Method and apparatus for mixed-mode optical proximity correction |
JP3631094B2 (ja) * | 2000-03-30 | 2005-03-23 | キヤノン株式会社 | 投影露光装置及びデバイス製造方法 |
TW512424B (en) * | 2000-05-01 | 2002-12-01 | Asml Masktools Bv | Hybrid phase-shift mask |
US6335130B1 (en) | 2000-05-01 | 2002-01-01 | Asml Masktools Netherlands B.V. | System and method of providing optical proximity correction for features using phase-shifted halftone transparent/semi-transparent features |
KR100428884B1 (ko) | 2000-06-13 | 2004-04-28 | 에이에스엠엘 마스크툴즈 비.브이. | 가변치수를 갖는 세리프를 이용하는 광근접 보정방법 |
US6383691B1 (en) * | 2000-06-26 | 2002-05-07 | Infineon Technologies Ag | Photomask and method for increasing image aspect ratio while relaxing mask fabrication requirements |
US6777141B2 (en) | 2000-07-05 | 2004-08-17 | Numerical Technologies, Inc. | Phase shift mask including sub-resolution assist features for isolated spaces |
US6541165B1 (en) | 2000-07-05 | 2003-04-01 | Numerical Technologies, Inc. | Phase shift mask sub-resolution assist features |
US6523162B1 (en) | 2000-08-02 | 2003-02-18 | Numerical Technologies, Inc. | General purpose shape-based layout processing scheme for IC layout modifications |
JP4646367B2 (ja) * | 2000-08-25 | 2011-03-09 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法および半導体装置 |
US6833954B2 (en) | 2000-09-20 | 2004-12-21 | Teraxion Inc. | Lithographic method for manufacturing a mask used in the fabrication of a fiber Bragg grating |
US6453457B1 (en) | 2000-09-29 | 2002-09-17 | Numerical Technologies, Inc. | Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout |
US6792590B1 (en) | 2000-09-29 | 2004-09-14 | Numerical Technologies, Inc. | Dissection of edges with projection points in a fabrication layout for correcting proximity effects |
US6625801B1 (en) | 2000-09-29 | 2003-09-23 | Numerical Technologies, Inc. | Dissection of printed edges from a fabrication layout for correcting proximity effects |
US6665856B1 (en) * | 2000-12-01 | 2003-12-16 | Numerical Technologies, Inc. | Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects |
US6653026B2 (en) | 2000-12-20 | 2003-11-25 | Numerical Technologies, Inc. | Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask |
EP1235103B1 (en) * | 2001-02-27 | 2007-04-18 | ASML Netherlands B.V. | Optical proximity correction method utilizing gray bars as sub-resolution assist features |
JP4267245B2 (ja) | 2001-03-14 | 2009-05-27 | エーエスエムエル マスクツールズ ビー.ブイ. | 解像度以下の補助フィーチャとして罫線ラダー・バーを利用した光近接補正方法 |
US6551750B2 (en) | 2001-03-16 | 2003-04-22 | Numerical Technologies, Inc. | Self-aligned fabrication technique for tri-tone attenuated phase-shifting masks |
US6789237B1 (en) * | 2001-05-11 | 2004-09-07 | Northwestern University | Efficient model order reduction via multi-point moment matching |
JP2002341513A (ja) * | 2001-05-15 | 2002-11-27 | Oki Electric Ind Co Ltd | 露光用マスク及びそれを用いた半導体装置の製造方法 |
US6721938B2 (en) | 2001-06-08 | 2004-04-13 | Numerical Technologies, Inc. | Optical proximity correction for phase shifting photolithographic masks |
US6601231B2 (en) * | 2001-07-10 | 2003-07-29 | Lacour Patrick Joseph | Space classification for resolution enhancement techniques |
US6803155B2 (en) * | 2001-07-31 | 2004-10-12 | Micron Technology, Inc. | Microlithographic device, microlithographic assist features, system for forming contacts and other structures, and method of determining mask patterns |
US6684382B2 (en) | 2001-08-31 | 2004-01-27 | Numerical Technologies, Inc. | Microloading effect correction |
DE10203358A1 (de) * | 2001-08-31 | 2003-04-03 | Infineon Technologies Ag | Photolithographische Maske |
US7026081B2 (en) * | 2001-09-28 | 2006-04-11 | Asml Masktools B.V. | Optical proximity correction method utilizing phase-edges as sub-resolution assist features |
US6670082B2 (en) * | 2001-10-09 | 2003-12-30 | Numerical Technologies, Inc. | System and method for correcting 3D effects in an alternating phase-shifting mask |
DE10164306B4 (de) * | 2001-12-28 | 2006-06-08 | Infineon Technologies Ag | Doppelbelichtung mit abbildenden Hilfstrukturen und verschiedenen Belichtungstools |
US7651821B2 (en) * | 2002-03-04 | 2010-01-26 | Massachusetts Institute Of Technology | Method and system of lithography using masks having gray-tone features |
AU2002324868A1 (en) * | 2002-03-04 | 2003-09-29 | Massachusetts Institute Of Technology | A method and system of lithography using masks having gray-tone features |
SG144749A1 (en) | 2002-03-25 | 2008-08-28 | Asml Masktools Bv | Method and apparatus for decomposing semiconductor device patterns into phase and chrome regions for chromeless phase lithography |
TWI292857B (en) | 2002-03-25 | 2008-01-21 | Asml Masktools Bv | A method and apparatus for defining mask patterns utilizing a spatial frequency doubling technique |
US6785878B2 (en) * | 2002-07-31 | 2004-08-31 | Texas Instruments Incorporated | Correcting a mask pattern using multiple correction grids |
US6813759B2 (en) * | 2002-09-09 | 2004-11-02 | Numerical Technologies, Inc. | Hybrid optical proximity correction for alternating aperture phase shifting designs |
TWI274969B (en) | 2002-09-11 | 2007-03-01 | Asml Masktools Bv | Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern |
US7172838B2 (en) * | 2002-09-27 | 2007-02-06 | Wilhelm Maurer | Chromeless phase mask layout generation |
JP4077288B2 (ja) * | 2002-09-30 | 2008-04-16 | 株式会社東芝 | フォトマスクの設計方法およびプログラム |
US6808850B2 (en) * | 2002-10-21 | 2004-10-26 | Numerical Technologies, Inc. | Performing optical proximity correction on trim-level segments not abutting features to be printed |
US6777146B1 (en) | 2003-02-21 | 2004-08-17 | International Business Machines Corporation | Method of optical proximity correction with sub-resolution assists |
JP2006519480A (ja) * | 2003-02-27 | 2006-08-24 | ザ ユニバーシティ オブ ホンコン | 回路性能向上のための多重露光方法 |
US7355673B2 (en) * | 2003-06-30 | 2008-04-08 | Asml Masktools B.V. | Method, program product and apparatus of simultaneous optimization for NA-Sigma exposure settings and scattering bars OPC using a device layout |
KR101072514B1 (ko) * | 2004-04-09 | 2011-10-11 | 에이에스엠엘 마스크툴즈 비.브이. | 코너에서의 라운딩 및 챔퍼들을 이용한 광근접성 보정 방법 |
US7500218B2 (en) * | 2004-08-17 | 2009-03-03 | Asml Netherlands B.V. | Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same |
US8304180B2 (en) * | 2004-09-14 | 2012-11-06 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
DE102004047263B4 (de) * | 2004-09-24 | 2010-04-22 | Qimonda Ag | Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske |
JP2006126614A (ja) * | 2004-10-29 | 2006-05-18 | Toshiba Corp | マスクパターンデータ生成方法、フォトマスクの製造方法、及び半導体デバイスの製造方法 |
US7713667B2 (en) | 2004-11-30 | 2010-05-11 | Asml Holding N.V. | System and method for generating pattern data used to control a pattern generator |
DE102005002533B4 (de) * | 2005-01-14 | 2007-09-13 | Infineon Technologies Ag | Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske |
DE102005005102B3 (de) * | 2005-02-04 | 2006-07-20 | Infineon Technologies Ag | Photolithographische Maske |
US7506300B2 (en) * | 2005-04-29 | 2009-03-17 | Cadence Design Systems, Inc. | Apparatus and method for breaking up and merging polygons |
US7480891B2 (en) * | 2005-04-29 | 2009-01-20 | Cadence Design Systems, Inc. | Method and apparatus of model-based photomask synthesis |
JP4742700B2 (ja) * | 2005-06-29 | 2011-08-10 | 凸版印刷株式会社 | 画素形成方法 |
US20070046917A1 (en) * | 2005-08-31 | 2007-03-01 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU |
US7749662B2 (en) | 2005-10-07 | 2010-07-06 | Globalfoundries Inc. | Process margin using discrete assist features |
US8653857B2 (en) | 2006-03-09 | 2014-02-18 | Tela Innovations, Inc. | Circuitry and layouts for XOR and XNOR logic |
US8448102B2 (en) | 2006-03-09 | 2013-05-21 | Tela Innovations, Inc. | Optimizing layout of irregular structures in regular layout context |
US8225239B2 (en) * | 2006-03-09 | 2012-07-17 | Tela Innovations, Inc. | Methods for defining and utilizing sub-resolution features in linear topology |
US8247846B2 (en) | 2006-03-09 | 2012-08-21 | Tela Innovations, Inc. | Oversized contacts and vias in semiconductor chip defined by linearly constrained topology |
US8225261B2 (en) | 2006-03-09 | 2012-07-17 | Tela Innovations, Inc. | Methods for defining contact grid in dynamic array architecture |
US9035359B2 (en) | 2006-03-09 | 2015-05-19 | Tela Innovations, Inc. | Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods |
US7908578B2 (en) | 2007-08-02 | 2011-03-15 | Tela Innovations, Inc. | Methods for designing semiconductor device with dynamic array section |
US9009641B2 (en) | 2006-03-09 | 2015-04-14 | Tela Innovations, Inc. | Circuits with linear finfet structures |
US8541879B2 (en) | 2007-12-13 | 2013-09-24 | Tela Innovations, Inc. | Super-self-aligned contacts and method for making the same |
US8245180B2 (en) | 2006-03-09 | 2012-08-14 | Tela Innovations, Inc. | Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same |
US7763534B2 (en) | 2007-10-26 | 2010-07-27 | Tela Innovations, Inc. | Methods, structures and designs for self-aligning local interconnects used in integrated circuits |
US8839175B2 (en) | 2006-03-09 | 2014-09-16 | Tela Innovations, Inc. | Scalable meta-data objects |
US9230910B2 (en) | 2006-03-09 | 2016-01-05 | Tela Innovations, Inc. | Oversized contacts and vias in layout defined by linearly constrained topology |
US7956421B2 (en) | 2008-03-13 | 2011-06-07 | Tela Innovations, Inc. | Cross-coupled transistor layouts in restricted gate level layout architecture |
US8658542B2 (en) | 2006-03-09 | 2014-02-25 | Tela Innovations, Inc. | Coarse grid design methods and structures |
US9563733B2 (en) | 2009-05-06 | 2017-02-07 | Tela Innovations, Inc. | Cell circuit and layout with linear finfet structures |
US7446352B2 (en) | 2006-03-09 | 2008-11-04 | Tela Innovations, Inc. | Dynamic array architecture |
US8286107B2 (en) | 2007-02-20 | 2012-10-09 | Tela Innovations, Inc. | Methods and systems for process compensation technique acceleration |
US8667443B2 (en) | 2007-03-05 | 2014-03-04 | Tela Innovations, Inc. | Integrated circuit cell library for multiple patterning |
US8453094B2 (en) | 2008-01-31 | 2013-05-28 | Tela Innovations, Inc. | Enforcement of semiconductor structure regularity for localized transistors and interconnect |
US7939443B2 (en) | 2008-03-27 | 2011-05-10 | Tela Innovations, Inc. | Methods for multi-wire routing and apparatus implementing same |
KR101903975B1 (ko) | 2008-07-16 | 2018-10-04 | 텔라 이노베이션스, 인코포레이티드 | 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현 |
US9122832B2 (en) | 2008-08-01 | 2015-09-01 | Tela Innovations, Inc. | Methods for controlling microloading variation in semiconductor wafer layout and fabrication |
US8225237B2 (en) * | 2008-11-27 | 2012-07-17 | United Microelectronics Corp. | Method to determine process window |
US8661392B2 (en) | 2009-10-13 | 2014-02-25 | Tela Innovations, Inc. | Methods for cell boundary encroachment and layouts implementing the Same |
US9159627B2 (en) | 2010-11-12 | 2015-10-13 | Tela Innovations, Inc. | Methods for linewidth modification and apparatus implementing the same |
US8975195B2 (en) * | 2013-02-01 | 2015-03-10 | GlobalFoundries, Inc. | Methods for optical proximity correction in the design and fabrication of integrated circuits |
US8922802B2 (en) * | 2013-02-21 | 2014-12-30 | Ricoh Company, Ltd. | Method and system for halftoning using energy minimization |
US11080458B2 (en) * | 2018-09-28 | 2021-08-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography simulation method |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5877231A (ja) * | 1981-11-04 | 1983-05-10 | Hitachi Ltd | レジストパタ−ンのテ−パ形成方法 |
EP0464492B1 (en) * | 1990-06-21 | 1999-08-04 | Matsushita Electronics Corporation | A photomask used by photolithography and a process of producing the same |
US5229255A (en) * | 1991-03-22 | 1993-07-20 | At&T Bell Laboratories | Sub-micron device fabrication with a phase shift mask having multiple values of phase delay |
JP3179520B2 (ja) * | 1991-07-11 | 2001-06-25 | 株式会社日立製作所 | 半導体装置の製造方法 |
US5324600A (en) * | 1991-07-12 | 1994-06-28 | Oki Electric Industry Co., Ltd. | Method of forming resist pattern and photomask therefor |
US5242770A (en) * | 1992-01-16 | 1993-09-07 | Microunity Systems Engineering, Inc. | Mask for photolithography |
US5288569A (en) * | 1992-04-23 | 1994-02-22 | International Business Machines Corporation | Feature biassing and absorptive phase-shifting techniques to improve optical projection imaging |
US5256505A (en) * | 1992-08-21 | 1993-10-26 | Microunity Systems Engineering | Lithographical mask for controlling the dimensions of resist patterns |
US5538815A (en) * | 1992-09-14 | 1996-07-23 | Kabushiki Kaisha Toshiba | Method for designing phase-shifting masks with automatization capability |
US5362584A (en) * | 1993-04-02 | 1994-11-08 | International Business Machines Corporation | Phase-shifting transparent lithographic mask for writing contiguous structures from noncontiguous mask areas |
BE1007860A3 (nl) * | 1993-12-08 | 1995-11-07 | Koninkl Philips Electronics Nv | Werkwijze voor het vervaardigen van een halfgeleiderinrichting, waarbij deelpatronen bevattende fotomaskers op elkaar aansluitend worden geprojecteerd op een laag fotolak. |
US5447810A (en) * | 1994-02-09 | 1995-09-05 | Microunity Systems Engineering, Inc. | Masks for improved lithographic patterning for off-axis illumination lithography |
US5663893A (en) * | 1995-05-03 | 1997-09-02 | Microunity Systems Engineering, Inc. | Method for generating proximity correction features for a lithographic mask pattern |
KR100208441B1 (ko) * | 1995-06-15 | 1999-07-15 | 김영환 | 포토마스크의 패턴 구조 |
KR0161437B1 (ko) * | 1995-09-19 | 1999-02-01 | 김광호 | 반도체장치의 미세패턴 형성방법 |
US5723233A (en) * | 1996-02-27 | 1998-03-03 | Lsi Logic Corporation | Optical proximity correction method and apparatus |
US5707765A (en) * | 1996-05-28 | 1998-01-13 | Microunity Systems Engineering, Inc. | Photolithography mask using serifs and method thereof |
US5821014A (en) * | 1997-02-28 | 1998-10-13 | Microunity Systems Engineering, Inc. | Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask |
-
1998
- 1998-04-06 US US09/055,355 patent/US6114071A/en not_active Expired - Lifetime
- 1998-10-23 WO PCT/US1998/022252 patent/WO1999027420A1/en active IP Right Grant
- 1998-10-23 KR KR1020007005604A patent/KR100616590B1/ko not_active IP Right Cessation
- 1998-10-23 DE DE69839944T patent/DE69839944D1/de not_active Expired - Fee Related
- 1998-10-23 JP JP2000522498A patent/JP4130529B2/ja not_active Expired - Fee Related
- 1998-10-23 AU AU11099/99A patent/AU1109999A/en not_active Abandoned
- 1998-10-23 EP EP98953825A patent/EP1034457B1/en not_active Expired - Lifetime
- 1998-11-23 TW TW087119395A patent/TW364073B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
WO1999027420A1 (en) | 1999-06-03 |
KR20010032368A (ko) | 2001-04-16 |
JP2003521720A (ja) | 2003-07-15 |
EP1034457B1 (en) | 2008-08-27 |
EP1034457A1 (en) | 2000-09-13 |
TW364073B (en) | 1999-07-11 |
KR100616590B1 (ko) | 2006-08-25 |
AU1109999A (en) | 1999-06-15 |
US6114071A (en) | 2000-09-05 |
DE69839944D1 (de) | 2008-10-09 |
EP1034457A4 (en) | 2001-05-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4130529B2 (ja) | 光ハーフトーン化マスクを用いた微細パターンエッジ同調方法 | |
US6194104B1 (en) | Optical proximity correction (OPC) method for improving lithography process window | |
KR100265549B1 (ko) | 하프톤형 위상 시프트 포토마스크 및 그 제조 방법 | |
KR100563157B1 (ko) | 마스크 오차 인자 보상에 의한 duv 스캐너 선폭 제어 | |
TW535211B (en) | Transmission and phase balance for phase-shifting mask | |
KR100700367B1 (ko) | 리소그래피 장치 및 디바이스 제조방법 | |
KR100599054B1 (ko) | 투과량 조절 마스크 및 그 제조방법 | |
KR100579637B1 (ko) | 위상경계마스킹을 사용하여 수정된 조명으로 이미징하는 방법 | |
JP2004069841A (ja) | マスクパターンおよびそれを用いたレジストパターンの形成方法 | |
KR0153221B1 (ko) | 감소형 투영 프린팅 장치에 사용되는 공간 필터 | |
KR20030014760A (ko) | 기판을 제조하는 리소그래피 방법 및 장치 | |
JP3080024B2 (ja) | 露光方法および球面収差量の測定方法 | |
US6977387B2 (en) | Combined on-axis and off-axis illumination | |
US7974027B2 (en) | Plane waves to control critical dimension | |
US6667136B2 (en) | Method to control nested to isolated line printing | |
US20040219435A1 (en) | Critical dimension control of printed features using non-printing fill patterns | |
US6812155B2 (en) | Pattern formation method | |
JPH10254122A (ja) | 露光用フォトマスク | |
US20020061471A1 (en) | Pattern forming method | |
JP2001281838A (ja) | イメージを作成するテンプレート | |
KR100725351B1 (ko) | 포토마스크 및 그 제조 방법 | |
Kim et al. | Optimization of process condition to balance MEF and OPC for alternating PSM: control of forbidden pitches | |
Kim et al. | Quarter-micrometer i-line lithography using an alternating phase-shift mask | |
KR20050021353A (ko) | 포토마스크 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20040413 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20060904 |
|
RD05 | Notification of revocation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7425 Effective date: 20070517 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070830 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071130 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20071225 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080325 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080424 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080522 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110530 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120530 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130530 Year of fee payment: 5 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313113 |
|
R360 | Written notification for declining of transfer of rights |
Free format text: JAPANESE INTERMEDIATE CODE: R360 |
|
R360 | Written notification for declining of transfer of rights |
Free format text: JAPANESE INTERMEDIATE CODE: R360 |
|
R371 | Transfer withdrawn |
Free format text: JAPANESE INTERMEDIATE CODE: R371 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313113 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |