JP3363110B2 - X線露光用マスク - Google Patents

X線露光用マスク

Info

Publication number
JP3363110B2
JP3363110B2 JP17699199A JP17699199A JP3363110B2 JP 3363110 B2 JP3363110 B2 JP 3363110B2 JP 17699199 A JP17699199 A JP 17699199A JP 17699199 A JP17699199 A JP 17699199A JP 3363110 B2 JP3363110 B2 JP 3363110B2
Authority
JP
Japan
Prior art keywords
wavelength
exposure
mask
ray
absorber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP17699199A
Other languages
English (en)
Other versions
JP2000156343A (ja
Inventor
瑞仙 江崎
賢一 室岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP17699199A priority Critical patent/JP3363110B2/ja
Publication of JP2000156343A publication Critical patent/JP2000156343A/ja
Application granted granted Critical
Publication of JP3363110B2 publication Critical patent/JP3363110B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体生産などに
用いられるX線露光に好適なX線露光用マスク、このマ
スクの製造方法、このマスクを用いたX線露光方法及び
露光装置、更にはこのマスクを用いたデバイス生産方法
等に関する。
【0002】
【従来の技術】近年、半導体集積回路の微細化に伴い、
より波長の短いX線を用い、マスクとウェハ基板を近接
させマスクパターンをウェハ基板上に露光転写する等倍
X線露光法が提案されている。
【0003】等倍X線露光は、図6に示すように、マス
クパターンが描かれているX線露光用マスク1を通して
X線4を被加工基板3上に照射し、被加工基板3上のX
線レジスト2にマスクパターンを転写するものである。
マスク1は、X線が透過し易い窒化珪素,炭化珪素,珪
素,ダイヤモンド等の軽元素からなる1〜5μm厚のメ
ンブレン(支持膜)6と、X線を遮蔽するX線吸収体パ
ターン5’を形成した構造となっており、マスク1に入
射したX線4のうち吸収体のない部分に入射したものの
みがマスク1を透過してレジスト2の面に到達し、パタ
ーンが転写されることとなる。X線吸収体材料として
は、従来よりW,Ta,Au等の重金属が用いられてき
た。それ以外のX線吸収体材料としては、Fe,Co,
Ni,Cu,Zn,Nb,Mo,Pd,Ag,Pt及び
これらの合金が提案されている。
【0004】これらのX線露光用マスクに用いるX線吸
収体材料の従来例では、特定の単一波長光源を露光に用
いたときのみの効果について検討されているが、シンク
ロトロン放射光のような波長域の比較的広い光源を用い
たときの吸収体の吸収、マスクコントラストや位相特
性、また好適なシンクロトロン光源の波長域や強度プロ
ファイルの設定については考慮されていない。例えば、
特開平5−13309号公報では、Co,Ni,Cu,
Zn及びこれらの合金を波長1〜1.5nmのX線で露
光するための吸収体材料として提案しているが、この波
長域の中心である特定の単一波長1.225nmにおけ
るCo,Ni,Cu,Znの吸収、マスクコントラスト
10のときの吸収体膜厚を示しているのみであり、波長
1〜1.5nmの広い波長域にわたるシンクロトロン放
射光を用いて露光を行ったときに得られる吸収特性やマ
スクコントラスト、それらの吸収体材料を用いて、実際
にX線露光転写する際における露光波長の最適化につい
ては全く考慮されていない。
【0005】同様に、別の公知文献、特公平7−955
06号公報では、X線に対する遮蔽性及びイオンエッチ
ングによるエッチングの容易性からFe,Co,Ni,
Cu,Zn,Nb,Mo,Pd,Ag,Ta,W,P
t,Au及びこれらの合金を吸収体に用いて、図7に示
すように、メンブレン6上に吸収体パターン5’を成す
吸収体とは異なる樹脂又は二酸化珪素の透過パターン1
0を有するマスクが提案されているが、露光に用いる光
源の好適な波長の設定や位相シフト効果については何ら
考慮されていない。
【0006】また、これらの材料を吸収体に用いたX線
露光用マスクの転写時の解像度を向上させる従来例とし
て、位相シフトマスクが提案されているが、例えば米国
特許公報 4,890,309号に示すように特定の単一波長光源
を用いたときの位相シフト効果についてのみ記載し、シ
ンクロトロン放射光のような波長域の比較的広い光源を
用いたときの吸収体の位相特性やそのときに得られる吸
収特性及びマスクコントラスト、また好適なシンクロト
ロン光源の波長域の設定やその波長域に好適な吸収体材
料については考慮されていない。
【0007】露光装置においても、シンクロトロン放射
光を揺動又は固定X線ミラーによる反射を利用した露光
方法では、露光位置により波長分布に大きな差が生じ、
露光位置によって位相シフト量も大きく異なるという問
題等がある。このため、シンクロトロン放射光に好適な
X線露光用マスクの開発が必要とされる。
【0008】
【発明が解決しようとする課題】実際の等倍X線露光に
おいて用いる光源の好適な波長域は、転写パターンの解
像性を支配するフレネル回折とX線によりレジスト中で
発生する2次電子飛程により決められる。フレネル回折
では、マスクとウェハとのギャップが狭く、露光波長が
短波長であるほど抑えられ、高い解像性が得られ、一方
2次電子飛程は、短波長のX線を露光に用いたときに大
きくなり、この2次電子による感光によって解像度は劣
化する。従って、この回折効果と2次電子効果の2つの
関係により、用いるX線の露光波長としては、0.6〜
1nmの波長域が解像性の点で優れていることが示され
ており、露光において0.6〜1nmのX線を用いるこ
とが望ましい。
【0009】しかしながら、実際の露光や従来例のX線
露光用マスクにおいては、主に特定の1つの波長におい
て好適な吸収体材料についてのみ想定し、波長域を0.
6〜1nmに持つシンクロトロン放射光に対して好適な
吸収体材料、X線露光用マスクについての検討は行われ
ていない。物質の吸収及び位相特性は、使用するX線波
長に大きく依存するため露光に使用する波長に合わせて
材料を選択する必要があるが、シンクロトロン放射光は
波長域の広い連続スペクトルであり、そのスペクトル特
性により好適な吸収体材料、マスク材料は異なる。
【0010】本発明は、上記の事情を考慮して成された
もので、その目的とするところは、マスク部に入射する
光の最大光強度を波長0.6〜1nmに持つシンクロト
ロン放射光を露光光源として用いたX線露光において、
この露光波長域に対して吸収が大きい材料を用いること
により吸収体の薄膜化を可能とし、X線露光における露
光精度の向上等に寄与し得るX線露光用マスクを提供す
ることにある。
【0011】また、本発明の他の目的は、マスク部に入
射する光の最大光強度を波長0.6〜1nmに持つシン
クロトロン放射光を露光光源として用いたX線露光にお
いて、位相シフト量が制御された材料を用いることによ
り転写パターンの解像性の向上を可能にし、X線露光に
おける露光精度の向上等に寄与し得るX線露光用マスク
を提供することにある。
【0012】また、本発明の他の目的は、上記のX線露
光用マスクを簡易に製造することのできるX線露光用マ
スクの製造方法を提供することにある。
【0013】また、本発明の他の目的は、上記のX線露
光用マスクを用いて良好なX線露光を行うことのできる
パターン露光方法、パターン露光装置、半導体デバイス
生産方法等を提供することにある。
【0014】
【課題を解決するための手段】(構成)上記課題を解決
するために本発明は、次のような構成を採用している。
【0015】(1-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線マスク部に入射する光の最大光強度を波
長0.6〜1nmに持つシンクロトロン放射光を露光光
源として用い、前記X線吸収体材料は、密度/原子量が
0.085[g/cm3 ]以上であり、且つL殻吸収端を
波長0.75〜1.6nmに持つ元素、又は密度/原子
量が0.04[g/cm3 ]以上であり、且つM殻吸収端
を波長0.75〜1.6nmに持つ元素を含むことを特
徴とする。
【0016】(1-2) X線吸収体材料は、単体元素,合
金,又は積層膜であること。 (1-3) X線吸収体材料において、密度/原子量が0.0
85[g/cm3 ]以上で且つL殻吸収端を波長0.75
〜1.6nmに持つ単体元素はCo,Ni,Cu,Z
n,Gaであり、密度/原子量が0.040[g/cm
3 ]以上で且つM殻吸収端を波長0.75〜1.6nm
に持つ単体元素は原子番号57〜71のランタノイド系
希土類元素(La〜Lu)であること。
【0017】(2) X線吸収体材料は、Co,Ni,C
u,Zn,Ga,La,Ce,Pr,Nd,Pm,S
m,Eu,Gd,Tb,Dy,Ho,Er,Tm,Yb
の何れかの少なくとも1つの元素を含むこと。
【0018】(3-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線マスク部に入射する光の最大光強度を
0.6〜1nmに持つシンクロトロン放射光を露光光源
として用い、前記X線吸収体は、L殻吸収端或いはM殻
吸収端を波長0.75〜1.6nmに持つ単体元素の何
れかからなる第1の材料と、M殻吸収端を波長0.5〜
0.75nmに持つ単体元素の何れからなる第2の材料
と、の合金又は積層膜であることを特徴とする。
【0019】(3-2) 第1の材料としてCo,Ni,C
u,Zn,Ga、原子番号57〜71のランタノイド系
希土類元素(La〜Lu)の何れかの元素を用い、第2
の材料として原子番号72〜80(Hf〜Hg)の何れ
かの元素を用いること。
【0020】(4-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線吸収体として、全てのL殻及びM殻吸収
端が、露光光源であるシンクロトロン放射光の(光強度
として前記X線マスク部に入射する最大光強度の波長に
おける光強度の1/10以上の強度を持つ波長域を露光
波長域とする)露光波長域の最短波長以下、或いは露光
波長の最長波長以上の領域である元素を主成分とする材
料を用いることを特徴とする。
【0021】(4-2) 吸収体は、単体元素、或いは原子番
号31のGa(31)、或いはそれらの合金、或いは積
層膜であること。
【0022】(4-3) 吸収体に用いられる元素は、原子番
号27〜30のCo(27)〜Zn(30)或いはGa
(31)、原子番号45〜47のRh(45)〜Ag
(47)、原子番号57〜63のLa(57)〜Eu
(63)、原子番号76〜80のOs(76)〜Hg
(80)、原子番号85〜87のAt(85)〜Fr
(87)、原子番号89〜92のAc(89)〜U(9
2)の何れかであること。
【0023】(5) X線吸収体材料は、Ti,V,Cr,
Mn,Fe,Co,Ni,Cu,Zn,Nb,Mo,T
c,Ru,Rh,Pd,Ag,La,Ce,Pr,N
d,Pm,Sm,Eu,Gdの何れかの少なくとも1つ
の元素を含むこと。
【0024】(6-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線マスク部に入射する光の最大光強度波長
を0.6〜1nmに持つシンクロトロン放射光を露光光
源として用い、前記X線吸収体として、その全てのL殻
及びM殻吸収端が、0.65nm以下、或いは1.02
nm以上の領域である元素を主成分とする材料を用いた
ことを特徴とする。
【0025】(6-2) X線吸収体は、単体元素、或いは原
子番号31のGa(31)、或いはそれらの合金、或い
は積層膜であること。
【0026】(6-3) 吸収体に用いられる元素は、原子番
号27〜30のCo(27)〜Zn(30)或いはGa
(31)、原子番号45〜47のRh(45)〜Ag
(47)、原子番号57〜63のLa(57)〜Eu
(63)、原子番号76〜80のOs(76)〜Hg
(80)、原子番号85〜87のAt(85)〜Fr
(87)、原子番号89〜92のAc(89)〜U(9
2)の何れかであること。
【0027】(7-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、前記X線吸収体として、全てのL殻及びM殻吸収
端が、露光光源であるシンクロトロン放射光の露光波長
域(光強度として該X線露光用マスクに入射する最大光
強度の波長における光強度の1/10以上の強度を持つ
波長域を露光波長域とする)の最短波長以下或いは露光
波長の最長波長以上の領域であり、且つ何れか1つの吸
収端が露光波長域の最短波長から最短波長より0.4n
m短い波長までの波長域にある第1の材料と、全てのL
殻及びM殻吸収端が、露光光源であるシンクロトロン放
射光の露光波長域の最短波長以下或いは露光波長の最長
波長以上の領域であり、且つ何れか1つの吸収端が露光
波長域の最長波長から最長波長より0.6nm長い波長
までの波長域にある第2の材料と、を組み合わせた合金
又は積層膜を用いることを特徴とする。
【0028】(8-1) メンブレン膜上にX線吸収体からな
るパターンが形成されたX線マスク部と、このX線マス
ク部を支持する支持体とを備えたX線露光用マスクにお
いて、露光波長域(光強度として該X線露光用マスクに
入射する最大光強度の波長における光強度の1/10以
上の強度を持つ波長域を露光波長域とする)を0.65
nmから1.02nmの間に有するシンクロトロン放射
光を露光光源として用い、前記X線吸収体として、全て
のL殻及びM殻吸収端が、前記露光波長域の最短波長以
下或いは最長波長以上の領域にあり、且つ何れか1つの
吸収端が前記露光波長域の最短波長から最短波長より
0.4nm短い波長までの波長域にある第1の材料と、
全てのL殻及びM殻吸収端が前記露光波長の最短波長以
下或いは最長波長以上の領域にあり、且つ何れか1つの
吸収端が露光波長域の最長波長から最長波長より0.6
nm長い波長までの波長域に有する第2の材料とを組み
合わせた合金又は積層膜を用いることを特徴とする。
【0029】(8-2) 第1の材料として、原子番号41〜
52のNb(41)〜Te(52)及び原子番号76〜
92のOs(76)〜U(92)の何れかの元素を用
い、第2の材料として、原子番号22〜31のCo(2
2)〜Zn(30)及び原子番号57〜62のLa(5
7)〜Eu(63)の何れかの元素を用いること。
【0030】(8-3) 第2の材料として、原子番号31の
Ga(ガリウム)を含めた第1の材料との合金、或いは
積層膜であること。
【0031】
【0032】
【0033】
【0034】
【0035】
【0036】
【0037】
【0038】
【0039】
【0040】
【0041】
【0042】
【0043】
【0044】
【0045】
【0046】
【0047】
【0048】
【0049】
【0050】
【0051】
【0052】
【0053】
【0054】
【0055】(9-1) 前記 (1)〜(8) のいずれかに記載の
X線露光用マスクと、このマスクに対し最大光強度を波
長0.6〜1nmに持つシンクロトロン放射光を照射す
るためのX線源と、マスクを透過したX線をウェハ上に
投影露光する手段とを具備してなることを特徴とするパ
ターン露光装置。
【0056】(10-1)前記 (1)〜(8) のいずれかに記載の
X線露光用マスクを用い、さらにX線マスク部に入射す
る光の最大光強度を波長0.6〜1nmに持つシンクロ
トロン放射光を露光光源に用いて、マスクに形成された
パターンをウェハ上に露光転写することを特徴とするパ
ターン露光方法。
【0057】
【0058】(作用)本発明では、以下の2つの課題の
解決をはかる。第1の課題は、解像性に優れる露光波長
域0.6〜1nmに最大光強度波長を持つシンクロトロ
ン放射光に対して吸収が大きく、高いマスクコントラス
トが得られる材料をX線吸収体に用いて、好適なX線露
光用マスクを実現する。従来の吸収体材料を用いたX線
露光用マスクでは、高いマスクコントラストを得るには
吸収体の膜厚を厚くする必要があるため、微細なパター
ンの形成が難しいという問題を有している。従って、実
際の露光に用いるシンクロトロン放射光に対して吸収が
大きく、高いマスクコントラストが得られる材料が必要
となる。
【0059】第2の課題は、X線吸収体とマスク基板を
透過したX線のそれぞれの位相φ1とφ2 のシフト量の
差|φ1 −φ2 |が、解像性に優れる露光波長0.6〜
1nmに対して、波長依存性を示さず、同じシフト量と
なる材料で且つ高いマスクコントラストが得られる材料
を吸収体に用いて、好適なX線露光用マスク、位相シフ
トマスクを実現する。このとき、シンクロトロン放射光
をX線ミラーによって反射させる露光方法においても、
露光位置によって波長分布に大きな差が生じても、位相
シフト量が波長依存性を示さなければ、露光むらや解像
度の劣化は抑えられる。
【0060】従来例の図8(米国特許公報 4,890,309
号)に示す吸収体の位相を反転させる位相シフトマスク
においては、吸収体5’とマスク基板を透過したX線の
それぞれの位相φ1 とφ2 がπだけずれるように吸収体
の厚さda を決定している。例えば、波長0.8nmの
X線でW(タングステン)からなる吸収体のパターンを
露光する場合、厚さ0.5μmとすれば|φ1 −φ2
=πとなり、目的が達成できるが、波長域の広いシンク
ロトロン放射光を用いて露光を行う場合、吸収体の厚さ
dによる位相シフト量及び吸収は波長に対して変化す
る。ここで、厚さ0.5μmのWからなる吸収体のパタ
ーンを用いて、波長域0.6〜1nmのシンクロトロン
放射光による露光を想定する場合、位相シフト量は0.
38π<|φ 1 −φ2 |<1.32πとなり、単一波長
についての位相特性や吸収特性を考慮しているだけでは
所望の位相シフト効果は得られない。
【0061】そこで本発明では、図1に示すようなメン
ブレン6及びX線吸収体パターン5を有するX線露光用
マスクにおいて、メンブレン6はX線吸収体によるパタ
ーン5を形成するものであり、X線吸収体は、Co,N
i,Cu,Zn,Ga、ランタノイド系希土類元素のL
a,Ce,Pr,Nd,Pm,Sm,Eu,Gd,T
b,Dy,Ho,Er,Tm,Yb,Luの単体元素及
びこれらの合金、またCr,Mn,Fe,Hf,Ta,
W,Re,Os,Ir,Pt,Au,Hgの何れかの元
素とランタノイド系希土類元素(La〜Lu)の何れか
の元素との合金を用いる。また、X線4としては、X線
露光用マスクに入射する光の最大光強度を波長0.6〜
1nmに持つシンクロトロン放射光を用いる。
【0062】また、上記の構造のX線露光用マスクの他
に本発明では、図2〜図4に示すメンブレン6上にX線
吸収体パターン10と吸収体とは異なる透過膜パターン
(8,8’,8”)を有するマスク特徴とするX線露光用
マスクを用いる。このとき、X線吸収体材料としては、
その全ての吸収端が、露光波長域(光強度として該X線
露光用マスクに入射する最大光強度の1/10以上の強
度を持つ波長域)の最短波長以下、或いは最長波長以上
の領域である元素からなる単体元素,化合物,積層膜を
用いることを特徴とし、また透過膜材料としては、その
全ての吸収端が、露光波長域の最短波長以下、或いは最
長波長以上の領域である元素、或いは最短波長近傍(最
短波長より0.1nm以内)に吸収端を持つ元素からな
る単体元素,化合物,積層膜を用いることを特徴とす
る。このとき、露光波長域における吸収体の位相分散を
打ち消すのに好適な位相特性を持つ元素からなる物質を
透過膜材料に選択する。
【0063】このように本発明では、請求項1,2のよ
うに吸収体の材料を選ぶことによって、回折効果と2次
電子効果が最も抑制され、高解像度の転写パターンが得
られる0.6〜1nmの波長域のX線を露光に用いて、
パターン転写を行う際に、微細加工の容易な薄膜化され
た吸収体を用いることが可能となる。
【0064】また、請求項3〜8のように吸収体の材料
を選ぶことにより、吸収体とマスク部を透過したX線の
それぞれの位相φ1 とφ2 (又は吸収体と透過膜を透過
したX線のそれぞれの位相φa とφt )のシフト量の差
|φ1 −φ2 |(又は|φa−φt |)が、その露光波
長帯域に渡りほぼ一定となり、シンクロトロン放射光に
対して好適な位相シフト効果を持つX線転写を行い、パ
ターン解像性の向上をはかることができる。特に、解像
性に優れる露光波長0.6〜1nmのシンクロトロン放
射光を用いた露光においては、パターン解像性の大幅な
向上を期待できる。
【0065】
【発明の実施の形態】以下、本発明の詳細を図示の実施
形態によって説明する。
【0066】(第1の実施形態)図1に示すように、X
線露光用マスク1は、X線透過薄膜としてのメンブレン
6上にX線吸収体パターン5を形成し、メンブレン6の
周辺を支持体7で支持した構成となっている。マスクと
しての基本的な構成は従来のマスクと同様であるが、本
実施形態では後に説明するように構成材料、特に吸収体
材料が従来とは大きく異なっている。
【0067】X線4としてはシンクロトロン放射光を用
いた。シンクロトロン放射光としては、リング蓄積電子
エネルギー600MeV、偏向磁場3T、最大蓄積電流
500mA、最大露光面積30mm角、最大露光強度5
0mW/cm2 、ビーム平行度2mrad以下のものを用い
た。放射光の取り出し窓としては、平均膜厚25μmの
ベリリウム(Be)窓、平均膜厚1.5μmの窒化珪素
(Si3 4 )窓、平均膜厚1.0μmのダイヤモンド
窓を用い、さらに集光及び揺動ミラーには射入射型の白
金(Pt)ミラーを用いた。このときシンクロトロン放
射光として、波長域0.62〜1.02nmの放射光が
得られ、また膜厚1.0μmのメンブレン材料、窒化珪
素(Si3 4 )膜、炭化珪素(SiC)膜、ダイヤモ
ンド膜、珪素(Si)膜のそれぞれの透過後の放射光強
度分布は図5に示す結果となり、露光対象物のパターン
精度を向上させるのに好適な露光光源である。ここで、
レジスト材料としてはノボラック樹脂系化学増幅型ネガ
型レジスト(膜厚dR =0.3μm)を用いた。
【0068】メンブレン6として膜厚が1μm又は2μ
mの窒化珪素(Si3 4 )膜、炭化珪素(SiC)
膜、ダイヤモンド膜、珪素(Si)膜の何れかの膜、ま
た吸収体5の膜厚da を0.4μmとする図1に示す構
造のX線露光用マスクを、上記露光条件において用いた
ときに得られる各種材料のマスクコントラストの結果
を、下記の(表1)に示す。
【0069】
【表1】
【0070】この(表1)から明らかなように、Gd,
Tb,Sm,Ho,Ir,Pt,Dy,Osは従来材料
のAu,W,Taよりも吸収が大きく高マスクコントラ
ストが得られる単体元素であり、波長域0.6〜1nm
のシンクロトロン放射光を用いたX線露光において好適
な吸収体材料であることが判る。この他にも、Tm,E
r,Pm,Cu,Nd,Niを用いたときにTaより高
マスクコントラストが得られ、これらが好適な吸収体材
料であることが判る。
【0071】また本実施形態では、波長域0.6〜1n
mのシンクロトロン放射光を用いたX線露光において吸
収が大きく、高いマスクコントラストが得られる単体元
素の吸収体材料は、原子数密度と吸収端波長の位置の関
係から以下の3つのグループに分けられることを明らか
にした。
【0072】 1)原子番号27〜31 → Co(27)〜Ga(31) 2)原子番号57〜71 → La(57)〜Lu(71) 3)原子番号72〜80 → Hf(72)〜Hg(80) 以下、これらのグループの単体元素が波長域0.6〜1
nmのシンクロトロン放射光を用いたX線露光において
吸収が大きく、高いマスクコントラストが得られる理由
について説明する。
【0073】軟X線領域における物質の複素屈折率及び
吸収・消衰係数は、以下の式 (1)〜(4) で表され、吸収
は (4)式で示されるように原子数密度Na と原子散乱因
子f 2 の積に比例することから、マスクコントラストの
大きさも原子数密度Na と原子散乱因子f2 に依存す
る。
【0074】 n−ik=1−δ−iβ =1−(Na e λ2 /2π)(f1 +if2 )… (1) δ=Na e λ2 1 /2π … (2) k=β=Na e λ2 2 /2π … (3) α=4πk/λ=2Na e λf2 … (4) ここで、 Na :原子数密度 re :古典電子半径(2.81794 ×10-15 [m]) λ :X線波長 f1 ,f2 :原子散乱因子の実部と虚部 n :屈折率 α :(線)吸収係数[cm-1] k :消衰係数 である。
【0075】原子数密度Na は、密度/原子量(D/
M)に比例し、単体元素でD/Mが0.085[g/c
3 ]以上の高密度の元素は、以下の元素である。
【0076】A)原子番号22〜31(Ti-Ga) (4.54-
8.93g/cm3 ) D/M=0.085-0.151 B)原子番号41〜47(Nb-Ag) (8.56-12.44g/cm3 )
D/M=0.092-0.1 C)原子番号73〜79(Ta-Au) (16.65-22.57g/cm3 )
D/M=0.092-0.119 一方、原子散乱因子f2 は波長に対して変化し、特に吸
収端近傍においては変化が大きく、吸収端波長より短波
長側近傍では原子散乱因子f2 は大きくなり、長波長側
近傍では極端に小さくなる。従って、シンクロトロン放
射光源を用いる場合、吸収は放射光の波長域全体にわた
るため、吸収及びマスクコントラストは元素の吸収端波
長の位置に大きく依存する。上述の露光条件(露光光、
窓材、メンブレン材料)における波長域0.6〜1nm
のシンクロトロン放射光を用いたX線露光において、吸
収端波長の位置が放射光の波長域の長波長側近傍の波長
0.75〜1.6nmに存在する以下の単体元素は、原
子散乱因子f2 が大きくなる。
【0077】D)原子番号27〜35(Co-Br) L殻吸収
端 0.75〜1.6nm E)原子番号56〜71(Ba-Lu) M殻吸収端 0.75
〜1.6nm グループA)及びD)に属する元素、即ち密度/原子量
が0.085[g/cm3 ]以上で、L殻吸収端を波長
0.75〜1.6nmに持つ元素は、原子番号27〜3
0のCo,Ni,Cu,Zn,Gaであり、そのL殻吸
収端がλ=1〜1.6nmにあり、シンクロトロン放射
光の波長域(0.6〜1nm)に対して長波長側近傍で
あるため、原子散乱因子f2 が大きく且つ原子数密度N
a も高い。このため、吸収率は高くなり、マスクコント
ラストは吸収体膜厚0.4μmにおいて2.5以上にな
る。従って、Co,Ni,Cu,Znは、波長域0.6
〜1nmのシンクロトロン放射光を用いたX線露光にお
いて最も好適な吸収体材料である。
【0078】同様に、M殻吸収端がλ=0.7〜1.5
nmにあるグループE)のBaを除く全ての元素、原子
番号57〜71のランタノイド元素La,Ce,Pr,
Nd,Sm,Eu,Gd,Tb,Dy,Ho,Er,T
m,Yb,Luにおいても、M殻吸収端がシンクロトロ
ン放射光の波長域に対して長波長側近傍であるため原子
散乱因子f2 が大きくなり、原子数密度の小さい元素で
あるにも関わらず、吸収率は高くなり、密度/原子量が
0.040[g/cm3 ]以上の元素においてはマスク
コントラストが吸収体膜厚0.4μmにおいて2.5以
上になる。Baは密度/原子量が0.025[g/cm
3 ]と極端に低く原子数密度Na が低いために、吸収率
もマスクコントラストも高くならない。
【0079】従って、グループE)の原子番号57〜7
1のLa〜Luも、波長域0.6〜1nmのシンクロト
ロン放射光を用いたX線露光において好適な吸収体材料
である。特に、Gd,Tb,Sm,Hoは、波長域0.
6〜1nmにおける吸収がIr,Pt,Au,W,Ta
よりも大きく(ウランを除く)単体元素の中で最大の吸
収とマスクコントラストが得られるため、好適な吸収体
材料である。
【0080】それとは対照的にグループB)の原子番号
41〜47のNb〜Agの元素は、原子数密度Na は高
いが、そのL殻吸収端がλ=2.5〜0.5nmに存在
し、吸収端の長波長側に光の波長域があるため原子散乱
因子f2 は小さくなり、マスクコントラストの値はあま
り大きくならない。
【0081】またグループC)の原子番号73〜79の
従来から吸収体材料に用いられている密度の高い材料、
Ta, W, Au(16.65, 19.30, 19.32 g/cm3 )等は、
そのM4,M5吸収端が波長0.4〜0.7nmに位置
し、吸収端よりも波長が長くなると吸収は急激に減少す
るため、そのM4,M5吸収端波長より長波長域では吸
収が極端に低くなる。特にTa, Wは、吸収端がSiの
K吸収端0.6738nm近傍にあり、吸収が重なるこ
とで、Si系の物質をメンブレン材料及び窓材に用いた
とき、0.6738nm以下の光は、メンブレンにおい
て吸収(減衰)されマスクコントラストを高めるのに寄
与しないため、同じグループのIr,Pt,Auよりも
吸収、マスクコントラスト値は低くなる。
【0082】上記のことより、波長域0.6〜1nmの
シンクロトロン放射光を用いたX線露光において高いマ
スクコントラストが得られる単体元素は、原子数密度と
吸収端波長の位置の関係から 1)原子番号27〜31 Co(27)〜Ga(31) 2)原子番号57〜71 La(57)〜Lu(71) 3)原子番号72〜80 Hf(72)〜Hg(80) の上記3つのグループに分けることができる。
【0083】これらの1)〜3)のグループに属する元
素、Cu,Gd,Ta,W,Auの吸収特性を図9に示
す。Cuは、TaやWに比べ密度は低いが、原子数密度
aは高く、そのL吸収端の短波長側近傍にビームの波
長域があるため原子散乱因子f2 は大きくなり、吸収が
Ta,Wよりも波長0.7nm以上では大きくなり、よ
り高いマスクコントラストを生じることが判る。Gdも
M吸収端の短波長側近傍にビームの波長域があるため原
子散乱因子f2 は大きくなり、吸収率、マスクコントラ
ストは高くなることが明らかである。
【0084】以上の主な単体元素においてマスクコント
ラストを10とするのに必要な膜厚を、下記の(表2)
に示す。
【0085】
【表2】
【0086】この(表2)から明らかなように、Cu,
Sm,Gd,Tbは、Ta及びWに比較してX線の吸収
が大きく、膜厚を薄くすることが可能である。特に、S
m,Gd,TbはAuよりも吸収が大きく薄膜化するこ
とが可能となる。これ以外の単体元素のHo,Tmにお
いてもAuよりも吸収が大きい。
【0087】線幅0.2μm以下の微細なパターンの転
写においては、X線を十分に遮蔽するための吸収体の膜
厚はパターン線幅に対する比率(アスペクト比)は高く
なり、従来提案されている吸収体材料やマスク作成法で
は精度良く吸収体パターンを形成することは困難である
が、本実施形態により選択された吸収体を用いれば、露
光に用いるX線に対して吸収が大きく薄膜化が可能とな
り微細加工が容易となる。
【0088】(第2の実施形態)第1の実施形態におい
ては単体で用いた元素を組み合わせることで、さらに吸
収体材料の吸収特性を改善することが可能である。即
ち、吸収体としてL殻吸収端を露光波長域0.6〜1n
mよりも長波長側(0.75〜1.6nm)に持つ原子
番号27〜31(Co〜Ga)のグループ1)の何れか
の元素と、M殻吸収端を露光波長域0.6〜1nmより
も短波長側(0.5〜0.75nm)に持つ原子番号7
2〜80(Hf〜Hg)のグループ3)の何れかの元素
とを組み合わせた合金又は積層膜は、波長域0.6〜1
nmのシンクロトロン放射光に対して吸収が大きく、吸
収体の膜厚を厚くしなくても高いマスクコントラストが
得られる材料となるため好適な吸収体材料である。
【0089】同様に、M殻吸収端を露光波長域0.6〜
1nmよりも長波長側(0.75〜1.6nm)に持つ
原子番号57〜71のランタノイド系希土類元素(La
〜Lu)のグループ2)の何れかの元素と、M殻吸収端
を露光波長域0.6〜1nmよりも短波長側(0.5〜
0.75nm)に持つ原子番号72〜80(Hf〜H
g)のグループ3)の何れかの元素とを組み合わせた合
金又は積層膜は、波長域0.6〜1nmのシンクロトロ
ン放射光に対して吸収が大きく、吸収体の膜厚を厚くし
なくても高いマスクコントラストが得られる材料となる
ため好適な吸収体材料である。
【0090】特に、グループ2)の単体元素のSm,G
d,Tb,Dy,Hoとグループ3)の単体元素のI
r,Pt,Auとの組み合わせは、それぞれの元素のマ
スクコントラストが高いために化合物の構成元素として
も好適な材料である。例えば、AuとSmの組み合わせ
おいては、SmはAuよりも吸収が大きく(膜厚0.4
μmでマスクコントラストSm:4.73〜4.94、
Au:4.29〜4.50)、その吸収特性が変化する
ことによりマスクコントラストを非常に高くすることが
可能である(Sm3 Au2 :5.78〜6.10)。2
元化合物の密度を単体元素のそれぞれの密度から組成比
に合わせて積分し、吸収体の膜厚を0.4μm 、メン
ブレン厚を1μmとしたときの合金、化合物のマスクコ
ントラストの計算結果を、下記の(表3)に示す。
【0091】
【表3】
【0092】この(表3)の合金、化合物のマスクコン
トラストの値は、何れの単体元素における値よりも高く
なり、単体元素に比較して非常に大きなマスクコントラ
ストが得られる。図10には、Gd1-x Aux の組成を
変化させたときの吸収特性及びマスクコントラストの変
化を示し、吸収特性の変化に応じてマスクコントラスト
も改善されることが判る。
【0093】(表3)以外の組み合わせの化合物におい
ても、Ta(73)においては、 1)原子番号30〜33番までの元素 Zn(30)〜As(33) 2)原子番号56〜72番までの元素 Ba(56)〜Hf(72) 3)原子番号75番以降の元素 Re(75)〜Bi(83) との2元化合物において、構成する何れの元素よりも高
いマスクコントラストとなる。
【0094】同様にW(74)においても、 1)原子番号32,33番の元素 Ge(32),As(33) 2)原子番号56〜72番までの元素 Ba(56)〜Hf(72) 3)原子番号75〜79番までの元素 Re(75)〜Au(79) との2元化合物においても何れの元素よりも高いマスク
コントラストとなる。
【0095】また、Re(75),Os(76)においては、 原子番号56〜79番までの元素 Ba(56)〜Au(79) Ir(77) においては、 原子番号56〜78番までの元素 Ba(56)〜Pt(78) Pt(78),Au(79)は、 原子番号56〜77番までの元素 Ba(56)〜Ir(77) との2元化合物において、高いマスクコントラストとな
る。従って、これらの合金、化合物は、吸収体膜厚の薄
膜化に有効な物質であり、好適な吸収体材料となる。
【0096】以上の主な合金、化合物の吸収体において
マスクコントラストを10とするのに必要な膜厚を、下
記の(表4)に示す。
【0097】
【表4】
【0098】この(表4)から明らかなように、合金、
化合物においては、何れの単体元素よりも吸収が大きく
なり、さらに薄膜化が可能となり、(表3)でマスクコ
ントラスト6.50以上の物質Gd11Ir9 ,Gd3
2 ,Gd11Pt9 等において必要な膜厚が500nm
以下と大幅に膜厚を薄くできる。従って、本実施形態に
より提案された合金、化合物材料を吸収体に用いたと
き、波長域0.6〜1nmの露光光に対して吸収が大き
く、吸収体材料の薄膜化が可能となり、マスク製作にお
ける微細加工が容易となる。
【0099】(第3の実施形態)次に、吸収体とマスク
基板を透過したX線のそれぞれの位相φ1 とφ2 のシフ
ト量の差|φ1 −φ2 |が、解像性に優れる露光波長域
0.6〜1nmの露光において、その波長帯域にわたり
一定となり、また同時に高いマスクコントラストも得ら
れる吸収体材料を用いたシンクロトロン放射光に対して
好適な位相シフトマスクの提案及びそのマスクを用いた
X線露光方法の実施形態を、以下に説明する。
【0100】はじめに、露光波長域0.6〜1nmのシ
ンクロトロン放射光の露光において、吸収体とマスク基
板を透過したX線のそれぞれの位相φ1 とφ2 のシフト
量の差|φ1 −φ2 |が波長に対して大きく変化しない
好適な吸収体材料について説明する。
【0101】シンクロトロン放射光の好適な波長域は
0.6〜1nmであり、ここで実施形態で用いているシ
ンクロトロン放射光の波長域も0.62〜1.02nm
であり、好適な露光光源である。しかしながら、このシ
ンクロトロン放射光を光源とした露光においては、取り
出し窓にBe窓(平均膜厚25μm)、Si3 4
(平均膜厚1.5μm)、ダイヤモンド窓(平均膜厚
1.0μm)を用いており、それらの材料を透過した後
の強度スペクトルにおける最大強度から1/10の強度
までの波長域は、0.654〜1.015nmとなる。
Siは、その吸収端を波長0.674nmに持つため、
窓材やメンブレン材にSi系の物質を用いた通常の露光
条件において、波長0.674nm以下の露光光は吸収
・減衰してしまう。従って、露光に対しては大きな影響
を与えないため、ここで露光に寄与する波長域は0.6
54〜1.015nmとなり、この実効的な波長域で好
適な吸収体材料であることが望まれる。
【0102】下記に示す(表5)は、波長域0.654
〜1.015nmにおける吸収体の位相シフト量の平均
がπとなるように膜厚da を設定したときの、様々な単
体元素の位相シフト量の分散結果をΔφとして示してい
る(Δφ:位相シフト量のπからの変位)。
【0103】
【表5】
【0104】(表5)では他に、各元素の吸収端の波
長、膜厚0.4μmにおいてそれぞれのメンブレン材料
に対して得られるマスクコントラストを示している。こ
の(表5)において、ビーム波長域内に吸収端を含む元
素と含まない元素において位相特性に大きな違いがあ
り、吸収端を含まない元素において位相シフト量の分散
が小さいことが判る。
【0105】図11及び下記の(表6)にはビーム波長
域内に吸収端を含む元素と含まない元素の代表的な吸収
体の位相変化の特性を示しており、吸収端を含む元素T
a,Wは大きく位相が変化し、ビーム光の波長全域にわ
たって位相をπ変化させることは困難であり、一方A
u,Cu,Ni,Zn,Cu−SiO2 (SiO2 膜へ
のCuの埋め込み)の吸収端を含まない元素は、位相変
化は波長に対して小さく、ほぼ制御できることが判る。
【0106】
【表6】
【0107】波長域内に吸収端を含まない元素は、波長
域内で急激な屈折率変化がないため、π位相シフトする
ための膜厚も波長に対する変化も小さく、その位相制御
は可能となる。このため、位相シフトマスクの吸収体材
料として好適である。X線露光において、波長域が0.
6〜1nmに存在するX線源を用いた場合、 1)Group I 原子番号27〜31 Co(27)〜Ga(31) 2)Group II 原子番号41〜52 Nb(41)〜Te(52) 3)Group III 原子番号57〜63 La(57)〜Eu(63) 4)Group IV 原子番号76〜92 Os(76)〜U(92) の4つのグループの元素は、その吸収端がこの波長域に
含まれず位相シフト制御の行い易い物質であり、いかな
る所望位相差に対しても膜厚を変えることにより制御す
ることを可能とするため、位相シフト効果を持ったX線
露光用マスクの吸収体材料に好適な材料である。また、
これらの材料の中で第1の実施形態において示された吸
収の大きい材料にも含まれるものは、 原子番号27〜31のCo(27)〜Ga(31) 原子番号57〜63のLa(57)〜Eu(63) 原子番号76〜80のOs(76)〜Hg(80) であり、これらの物質は波長域0.6〜1nmのシンク
ロトロン放射光を用いたX線露光において、位相特性及
び吸収特性の何れにも優れた非常に好適な吸収体材料で
ある。これ以外の単体元素のFr(87)、原子番号89〜
92のAc(89)〜U(92)も、同様に位相特性及び吸収特
性に優れた材料であるが、稀少で入手が困難、且つ高価
であるため実用的ではないため、ここでは除いて説明し
ている。
【0108】次に、上記の位相シフト量の制御性に優
れ、位相シフトマスクの吸収体材料に好適な吸収体材料
について以下に説明する。
【0109】波長域0.6〜1nmのシンクロトロン放
射光源において全帯域にわたる制御は困難である単体元
素としては、波長域0.654〜1.015nm内に吸
収端を含む以下のような元素: 1)原子番号12〜14 Mg(12)〜Si(14) K殻吸
収端 2)原子番号32〜37 Ge(32)〜Rb(37) L殻吸
収端 3)原子番号64〜75 Gd(64)〜Re(75) M殻吸
収端 である。これは、吸収端波長において急激な屈折率変化
が生じるため、π位相シフトするための膜厚も波長に対
して大きく変化しているためである。X線露光における
代表的な吸収体材料、Ta(73),W(74)はこれらの中に
含まれ、 Ta(タンタル:原子番号73) M殻吸収端波長:M4:0.687nm ,M5:0.711nm π位相吸収体膜厚:da =679.50nm(マスクコントラス
ト:7.20〜7.55) π位相シフト量:|φ1 −φ2 |≦π±0.54π(波長
0.654〜1.015 nm) W(タングステン:原子番号74) M殻吸収端波長:M4:0.659nm,M5:0.6
83nm π位相吸収体膜厚:da =581.70nm(マスクコントラス
ト:6.77〜7.08) π位相シフト量:|φ1 2 |≦π±0.56π(波長 0.65
4〜1.015 nm) となり、位相はこの波長域内でπ/2以上変化し、これ
らの物質は特性X線を利用した光源による露光において
は、位相を膜厚により制御することはできるが、シンク
ロトロン放射光源においては波長域全域にわたる制御は
困難である。
【0110】一方、波長域内に吸収端を含まない元素
は、波長域内で急激な屈折率変化がないため、π位相シ
フトするための膜厚も波長に対する変化も小さく、その
位相制御は可能となる。X線露光において、波長域が
0.6〜1nmに存在するX線源を用いた場合、上記の
グループIのCo(27)〜Ga(31)、グループIIのNb(4
1)〜Te(52)、グループIII のLa(57)〜Eu(63)、グ
ループIVのOs(76)〜U(92)の計4つのグループに分け
られる。
【0111】次に、これらの4つのグループの単体元素
について、吸収特性を含めて個々に説明する。
【0112】1)Group I(原子番号27〜31:Co
(27)〜Ga(31)) このグループの元素は、その密度は5.90〜8.93
g/cm3 と従来用いられてきた吸収体材料に比べ低い
が、原子数密度Na は高く、またL殻の吸収端がλ=1
〜1.6nmに存在し、吸収端の短波長側近傍にシンク
ロトロン放射光の波長域があるため原子散乱因子f2
大きくなり、吸収α及びマスクコントラストの値も大き
くなる。波長域0.654〜1.015nmにおけるπ
位相シフト膜厚da としたときのこのグループの位相シ
フトの変化を、図12及び下記の(表7)に示す。
【0113】
【表7】
【0114】位相シフト量のπからの変位は Δφ=
0.07〜0.18πであり、このグループの元素はこ
の波長域の露光において位相シフト量の制御が行える。
特に、Cu,Zn,Gaは位相シフト量をπ±0.13
π,π±0.10π,π±0.07πにそれぞれ制御で
き、いかなる所望位相差に対しても膜厚を変えることで
精度良く制御することが可能であり、マスクコントラス
トも高いことから、この露光波長域において好適な吸収
体材料である。
【0115】2)Group II(原子番号41〜52: N
b(41)〜Te(52)) このグループのNb(41)〜Te(52)元素は、そのL殻吸
収端がλ=0.25〜0.50nmに存在し、吸収端の
長波長側にシンクロトロン放射光の波長域があるため原
子散乱因子f2 も小さくなり、吸収α及びマスクコント
ラストの値も小さくなる。波長域0.654〜1.01
5nmにおける膜厚da としたときのこのグループの元
素の位相シフトの変化を、図13及び下記の(表8)に
示す。
【0116】
【表8】
【0117】位相シフト量のπからの変位はΔφ=0.
18〜0.24πであり、グループIの物質と比較する
と変位量は大きく、またマスクコントラストも低くな
る。しかしながら、このグループの元素のRu(44),R
h(45),Pd(46)は、密度が比較的高く(12.06〜
12.44g/cm3 )、π位相シフトさせるために必
要な膜厚は、474.14〜487.46nmと非常に
薄くできるため、これらの元素は吸収も大きく好適な吸
収体材料である。
【0118】3)Group III (原子番号57〜63:
La(57)〜Eu(63)) La(57)〜Eu(63)元素は、何れも希土類元素に属し、
その密度は5.24〜7.52g/cm3 と低いが、グ
ループIと同様にその吸収端(この場合、M殻)がλ=
1.1〜1.5nmに存在し、吸収端の短波長側にシン
クロトロン放射光の波長域があるため原子散乱因子f2
は大きくなり、吸収α及びマスクコントラストの値も大
きくなる。また、原子番号の増加と共にM殻吸収端の波
長は短くなり、原子番号64〜69のGd〜Tmの吸収
端とシンクロトロン放射光の波長域が重なり、吸収及び
マスクコントラスト値は非常に大きくなる。
【0119】密度が低いため膜厚に対する屈折率変化は
小さく、π位相シフトさせるための膜厚は、985.8
8〜1611.3nmと厚くしなければならないが、位
相シフト量のπからの変位量は|Δφ|=0.03〜
0.14πと何れの元素も位相制御性に優れ、膜厚を変
えることで精度良くいかなる所望位相差にも制御するこ
とが可能であり、また吸収も大きいことからLa(57)〜
Eu(63)元素は位相シフトマスクの吸収体に好適な材料
である(図14及び(表9)参照)。
【0120】
【表9】
【0121】4)Group IV(原子番号76〜92:Os
(76)〜U(92)) このグループのOs(76)〜Au(79)元素は、その密度が
19.32〜22.57g/cm3 と非常に高く、吸収
α及びマスクコントラストの値も大きくなる。しかしな
がら、そのM殻の吸収端はλ=0.3〜0.6nmに存
在し、吸収端の長波長側にシンクロトロン放射光の最大
光強度波長があるため原子散乱因子f2は吸収端の短波
長及び近傍に比べ低くなるため、波長域内の吸収はグル
ープIのCuと顕著な差は生じない。
【0122】密度が高いため、膜厚に対する屈折率変化
は大きく、π位相シフトさせるための膜厚da を40
3.70〜441.03nmと薄くすることができる。
波長域0.654〜1.015nmにおける膜厚da
したときのこのグループの位相シフトの変化を、図15
及び下記の(表10)に示す。
【0123】
【表10】
【0124】位相シフト量のπからの変位はΔφ=0.
12〜0.36πである。吸収体材料に用いられている
PtやAuは、それぞれΔφ=0.27,0.25πで
あり、グループIの元素と比較すると変位量は大きく、
膜厚を変えることで所望の位相差を精度良く制御するこ
とは困難である(図15参照)。
【0125】以上のグループIからグループIVの4つの
グループに属する単体元素の結果を(表11)にまとめ
て示す。
【0126】
【表11】
【0127】ここでは、π位相シフトさせるのに必要な
吸収体の膜厚と、そのとき得られる位相シフト分散及び
マスクコントラスト(括弧内はメンブレン厚1μm、吸
収体膜厚0.4μmのときのマスクコントラスト値)を
示している。
【0128】この(表11)に示される好適な元素の中
でも特に、以下の2条件 1)位相シフト量の制御性に優れる(Δφ=0.20
π)。
【0129】2)マスクコントラストが高い(吸収体膜
厚0.4μmのとき2.80以上)。
【0130】を満たす単体元素は、 Group Iの Co,Ni,Cu,Zn Group IIの Rh,Pd,Ag GroupIIIの La,Ce,Pr,Nd,Pm,Sm,E
u Group IVの At,Rn,Fr,Ac,Th,Pa,U である。従って、これらの単体元素は位相シフト効果を
利用して転写パターンの解像度を向上するのに好適な吸
収体材料である。
【0131】また、更にこれらの単体元素の中で、位相
シフト量の制御性に優れ、吸収体膜厚が薄くマスクコン
トラストの値も適切な位相シフトマスクの吸収体材料に
望まれる条件を下記の条件、 1)Δφ≦0.125π(0.92≦|cosφ|≦
1) 露光波長域内の波長に対する位相シフト量の最大及び最
小位相シフト量が露光波長域内の平均位相シフト量の±
12.5%以内。
【0132】2)π位相シフト膜厚におけるマスクコン
トラスト値Cが10程度 3)π位相シフト膜厚da ≦1000nm (ライン&スペースパターンの幅 0.1μmにおいてアス
ペクト比10以下)にすると、露光波長域0.65〜1.
02nmのX線露光において、これらの全ての条件を満
たす好適な吸収体材料は、 Cu(銅:原子番号29) π位相吸収体膜厚:da =612.40nm(マスクコントラス
ト:7.19〜7.77) π位相シフト量:|φ1 2 |≦π±0.125π Zn(亜鉛:原子番号30) π位相吸収体膜厚:da =790.25nm(マスクコントラス
ト:9.36〜10.23 ) π位相シフト量:|φ1 2 |≦π±0.10π である。従って、CuとZnが露光波長域0.6〜1n
mのシンクロトロン放射光を用いたX線露光に最適な吸
収特性及び位相特性を持つ単体元素であることが示され
た。
【0133】ここで、波長域0.6〜1nmのシンクロ
トロン放射光に対して、Cu,Znは吸収特性及び位相
特性に優れ、X線露光用マスクの吸収体材料として好適
であることが示された。しかし、特開平5−13309
号公報で提案されているように、これらの材料を波長域
1〜1.5nmのシンクロトロン放射光に対して用いた
場合、これらの物質は吸収端をこの波長域内、或いはこ
の波長域近傍に含むため、図16に示すように、位相シ
フト量は波長に対して大きく変化する。このため、位相
シフト量の制御は困難であり、位相シフト効果を十分に
得ることは期待できない。
【0134】各吸収体の波長域1〜1.5nmにおける
位相シフト量、平均位相シフト量からの変位、変位の割
合を下記の(表12)に示す。
【0135】
【表12】
【0136】従って、波長域1〜1.5nmを露光波長
域とするシンクロトロン放射光による露光においては、
Co,Ni,Cu,Znを吸収体とするX線露光用マス
クを用いた場合、この波長域のX線に対して吸収は大き
いが位相制御が難しく、転写パターンの解像度は、波長
域0.6〜1nmを露光波長域とするシンクロトロン放
射光を用いた露光の方が高くなる。
【0137】(第4の実施形態)吸収端を露光光の波長
域0.6〜1nmの短波長側0.2〜0.6nmに持つ
グループII(原子番号41〜52:Nb〜Te)及びIV
(原子番号76〜92:Os〜U)の単体元素の位相特
性は、吸収端を露光光の波長域0.6〜1nmの長波長
側1〜1.6nmに持つグループI(原子番号27〜3
1:Co〜Ga)及び III(原子番号57〜63:La
〜Eu)の元素と組み合わせ合金、化合物にすることに
より位相特性を改善することが可能である。またこのと
き、第2の実施形態で示したように位相特性のみならず
吸収特性も大きく改善できる組み合わせが存在する。
【0138】以下、本実施形態においてグループII及び
IVの元素とグループI及びIII の元素を組み合わせ合
金、化合物において位相特性が改善されることを説明す
る。
【0139】単体元素の位相シフト量は、吸収端の位置
において急激に減少する以外は、長波長側において大き
くなる右肩上がりの特性となる。 グループIからIVの
全ての元素は、露光波長域内に吸収端を持たないため、
多くの物質は長波長側において位相シフト量が大きくな
る。
【0140】グループI及びIII の元素は、L,M殻の
吸収端を1〜1.6nmで露光波長の長波長域近傍に持
ち、この吸収端波長において位相シフト量が小さくなる
が、その吸収端波長よりも短波長側近傍の波長域におい
ては、位相シフト量の波長に対する変化は小さくなるた
め、露光波長域0.654〜1.015nmにおいては
位相分散が小さくなる。他方、グループII及びIVの元素
は、吸収端を露光波長の短波長側の0.25〜0.6n
mに持つため、位相シフト量の波長に対する変化は、グ
ループI及びIII の元素に比べ大きくなる。
【0141】従って、グループI及びIII の単体元素は
位相シフト量の制御において好適な吸収体材料であり、
これらの元素をグループII及びIVの元素と組み合わせた
合金、化合物では、位相特性を改善できる。特にグルー
プIのZn,Ga、グループIII のPm,Sm,Euは
吸収端を露光光の長波長域近傍の1.1〜1.2nmに
持ち、位相が吸収端付近の1nm近傍で変化し、露光光
の長波長域において位相シフト量が小さくなるため好適
な材料である。グループIのZn,Ga、グループIII
のPm,Sm,Euは、露光光の長波長域において位相
シフト量が大きくなるグループIからIVの全ての元素に
対して、これらの物質と合金化・化合物化することで位
相シフト量の露光波長域内の波長に対する変化を小さく
できるため、これらの組み合わせは好適である。特に位
相制御性の劣るグループII及びIVの単体元素ではπ位相
シフトにおいて位相変位は|φ1 −φ2 |≦π±0.2
0〜0.30πであり、グループIの元素やグループII
I の元素を組み合わせることで、その特性を大きく改善
することが可能である。
【0142】例えば、グループIVの元素Auとグループ
III の元素Smを組み合わせたSm 4 Au合金におい
て、π位相シフト量は|φ1 −φ2 |≦π±0.04π
に制御できる。また、Smx Auy 合金においては、マ
スクコントラストも何れの単体元素における値よりも高
くすることができる。Auは、π位相シフトさせるため
の膜厚da が441.03nmと薄く、且つマスクコン
トラストも高いため、この波長域のシンクロトロン放射
光による露光において位相シフトを利用して高い解像力
を得るのには適している物質であるが、波長に対する位
相変位を低く抑えることでさらに有効な物質になる。こ
のことは、グループIVの他の元素についても同様であ
り、Os,Pt,IrはAuよりも吸収が大きいため好
適な材料である。
【0143】従って、吸収端を短波長側(0.25〜
0.60nm)に持つグループII及びIVの単体元素の位
相特性を変えるためには、グループI及びIII が吸収端
を1〜1.6nmに持つためにこれらの物質と化合物に
することが有効である。また、マスクコントラストも吸
収特性が変化することで何れの単体元素における値より
も高くすることが可能である。
【0144】図17及び下記の(表13)には、Smx
Auy 2元合金の組成を変えたときの(a)位相シフト
量と(b)マスクコントラスト(吸収体膜厚0.4μ
m)の変化を示している。マスクコントラストは、Sm
3 Au2 の組成比において最大となり、このときの位相
シフトの制御も膜厚約700nmでπ±0.09πと非
常に精度良く制御することが可能となり吸収体材料とし
て好適である。
【0145】
【表13】
【0146】グループIII とグループIVの組み合わせ以
外にもグループIとグループIII 、グループIとグルー
プII、グループIIとグループIII の組み合わせにおいて
もマスクコントラスト及び位相特性は改善される。
【0147】下記の(表14)には、グループIとグル
ープIII 、グループIII とグループIVの組み合わせの主
な合金、化合物を吸収体材料に用いたときの位相特性
(形式は表11と同じ)とマスクコントラスト値を示し
ている。
【0148】
【表14】
【0149】(表13,14)及び図17より、合金、
化合物ではその組成比を変えることで、マスクコントラ
スト及び位相シフト量の制御性を変えることが可能であ
り、本実施形態で提案する材料の組み合わせでその組成
比を最適化することで、任意の膜厚で所望のマスクコン
トラスト及び位相シフト量を精度良く制御することが可
能となることは明らかである。
【0150】従って、グループIの原子番号27〜31
のCo(27)〜Ga(31)とグループIII の原子番号57〜
63のLa(57)〜Eu(63)を組み合わせた合金、化合
物、またグループIII の原子番号57〜63のLa(57)
〜Eu(63)とグループIVの原子番号76〜92のOs(7
6)〜U(92) を組み合わせた合金、またグループIIの原
子番号45〜47のRh(45)〜Ag(47)とグループIの
27〜31のCo(27)〜Ga(31)、またグループIII の
原子番号57〜63のLa(57)〜Eu(63)を組み合わせ
た合金は、波長域0.6〜1nmのシンクロトロン放射
光を用いた位相シフトマスクの吸収体に好適な材料であ
る。
【0151】これらの合金、化合物の中で、位相シフト
量の制御性に優れ、吸収体膜厚も薄く、マスクコントラ
ストの値も適切な位相シフトマスクの吸収体材料に望ま
れる以下の3条件、 1)Δφ≦0.10π(0.95≦|cosφ|≦1) 2)π位相シフト膜厚におけるマスクコントラスト値C
が10〜20程度 3)π位相シフト膜厚da ≦850nm とすると、これらの全ての条件を満たす好適な吸収体材
料は、グループIとIIIの組み合わせによる SmNi4 π位相吸収体膜厚:da =702.03nm (マスクコントラスト:11.01〜12.01) π位相シフト量:|φ1 −φ2 |≦π±0.10π Nd2 Cu3 π位相吸収体膜厚:da =813.14nm (マスクコントラスト:14.97〜16.52) π位相シフト量:|φ1 −φ2 |≦π±0.07π Nd3 Cu7 π位相吸収体膜厚:da =764.23nm (マスクコントラスト:12.81〜14.08) π位相シフト量:|φ1 −φ2 |≦π±0.08π と、グループIII とIVの組み合わせによる Nd4 Au π位相吸収体膜厚:da =837.64nm (マスクコントラスト:19.50〜21.59) π位相シフト量:|φ1 −φ2 |≦π±0.08π GdAu π位相吸収体膜厚:da =661.88nm (マスクコントラスト:19.14〜20.94) π位相シフト量:|φ1 −φ2 |≦π±0.10π が挙げられる。
【0152】また、上記した組成比、組み合わせ以外に
おいても3条件を満たす吸収体材料は得られる。従っ
て、本発明で提案する材料の組み合わせでその組成比を
最適化することで、任意の膜厚で所望のマスクコントラ
スト及び位相シフト量を精度良く制御することが可能と
なることが明らかになった。
【0153】(第5の実施形態)第3の実施形態及び第
4の実施形態においては、吸収体パターン間の溝に透過
膜物質が存在していない場合に好適な吸収体材料につい
ての説明を行ったが、本実施形態ではX線に対して吸収
の小さい透過膜がメンブレン上に存在する図2〜図4に
示すX線露光用マスクについて述べる。メンブレン6上
の透過膜8は、露光波長に対して吸収が小さく、その物
質の構成元素として吸収端を露光光の露光波長域に含ま
ない元素又は露光波長域の短波長域近傍に持つ元素を用
いて、吸収体5の波長に対する位相シフト量の分散を制
御することが可能となる。
【0154】以下、本実施形態において上記透過膜を用
いて位相シフト量を制御する手法の発明について説明す
る。
【0155】図2〜図4に示すX線露光用マスクにおけ
る吸収体と透過膜を透過したX線のそれぞれの位相をφ
a とΔφt としたとき、|φa −φt |が露光波長域に
おいて、吸収体を透過した位相φa に対して好適な位相
特性φt を持つ材料を選択することで、波長帯域の広い
シンクロトロン放射光を用いた露光における位相制御性
を高めることができる。
【0156】吸収体材料については、その全ての吸収端
が、露光波長域(X線露光用マスクに入射する最大光強
度波長の光強度の1/10以上の強度を持つ波長域)の
最短波長以下或いは最長波長以上、又は最長波長近傍
(最長波長より0.1nm以内)の領域である元素から
なる単体元素化合物や積層膜が適している。一方、吸収
端が露光波長域内に含まれる元素からなる物質の場合、
その吸収端波長及びその近傍において位相特性が大きく
変化し、露光波長域内の位相分散を小さくすることが困
難であるため不適である。また、同様のことが透過膜材
料についても言え、その全ての吸収端が、露光波長域の
最短波長以下或いは最長波長以上の領域である元素、又
は最短波長近傍に吸収端を持つ元素からなる単体元素,
化合物,積層膜を用いることが望まれる。
【0157】ここでは、透過膜材料として望まれる特性
としては、以下の条件を満たす物質である。
【0158】1)吸収体材料の位相分散を打ち消す位相
特性を持つこと 2)露光光のX線に対して吸収が小さく、透過率の高い
物質 3)透過膜の厚さDt があまり厚くならないこと 条件1)は、波長帯域の広いシンクロトロン放射光の各
波長に対する位相シフト量を一定にし、その位相分散を
抑えるためである。吸収端を露光光波長域内に含まない
吸収体の元素は、その位相シフト量は短波長側で小さ
く、長波長側において大きくなる。従って、透過膜とし
ては同様に吸収端を露光光波長域内に含まない元素か露
光波長域の最短波長近傍に吸収端を持つ元素を用いるこ
とにより、位相シフト量の分散は抑えられる。最短波長
近傍に吸収端を持つ元素は、その吸収端波長λa におい
ては屈折率nt (λa )及びφt (λa )が急激に減少
し、|φa (λa )−φt (λa )|が短波長域で増加
するため吸収体の位相シフト量の分散は抑えられる。こ
こでは、最短波長より0.1nm以内に吸収端を持つ元
素が望ましい。
【0159】条件2)は、透過膜の吸収が大きければ、
そのマスクで得られるマスクコントラストが低くなるた
めである。そして条件3)では、位相シフトするために
透過膜を厚くする必要がある場合、透過膜の吸収が大き
くなりマスクコントラストを低下させるだけではなく、
透過膜に形成する微細パターンがアスペクト比の高い構
造となり、その作成及び微細パターン溝中への吸収体材
料又は透過膜材料の埋め込みが困難となるためである。
条件4)は、透過膜微細パターン溝への吸収体材料の埋
め込む際にリフロー・スパッタ法を用いる場合に必要な
条件となる。
【0160】ここでは、第1の実施形態における露光条
件と全く同じ条件の露光波長域0.654〜1.015
nmのシンクロトロン放射光を用いたとき、図2〜図4
に示すX線露光用マスクにおいて、上記の条件を満たす
透過膜材料を示し、その効果について以下に詳細に説明
する。
【0161】まず、吸収体及び透過膜を透過した波長λ
のそれぞれのX線光の位相差|φa−φt |がπとなる
ときの吸収体及び透過膜の膜厚Da ,Dt は、以下の式
で表される。
【0162】 |φa −φt |= 2π|na a −nt t |/λ=mπ … (5) |na a −nt t |=m(λ/2) |δa (λ)Da −δt (λ)Dt |=m(λ/2) m=0,±1,±2,〜 … (6) 但し、 φa :メンブレン・吸収体透過後の位相シフト量 φt :メンブレン・透過膜透過後の位相シフト量 na , nt :吸収体及び透過膜の屈折率 δa (λ),δt (λ):δa (λ)=1−na ,δt
(λ)=1−nta ,Dt :吸収体及び透過膜の膜厚 である。
【0163】ここでは、各種単体元素及び合金、化合物
について位相をπ変化させるのに必要な膜厚を求め、位
相の波長分散を調べた。その波長域としては、上記シン
クロトロン放射光のメンブレン透過後(1.0μm厚)
の強度スペクトルにおいて最大強度から1/10の強度
までの波長域0.654〜1.015nmとした。この
0.654〜1.015nmの露光光波長帯域における
吸収体及び透過膜のπ位相シフト平均膜厚をda ,dt
とし、厚さDa ,Dt の吸収体及び透過膜を透過後の位
相シフト量の差|φa −φt |をPとするとき、以下の
式(7) が成り立つ。
【0164】 Da /da −Dt /dt =P/π … (7) 但し、 Da ,Dt :吸収体及び透過膜の厚さ da :露光光波長域における吸収体のπ位相シフト平均
膜厚 dt :露光光波長域における透過膜のπ位相シフト平均
膜厚 P:P =|φa −φt |位相シフト量 である。
【0165】従って、位相シフト量Pにおける膜厚は、
吸収体と透過膜の膜厚が等しいとき(図2参照)、Da
=Dt (≡D)となり、このとき膜厚Dは式 (8)で表さ
れ、 D=(da t /|dt −da |)・P/π … (8) となる。また、このとき露光波長域における位相分散は
以下の式 (9)及び(9')で表される。
【0166】 ΔφD =D・(Δφa /da −Δφt /dt )… (9) ΔφD =(da t /|dt −da |)・(P/π) ・(Δφa /da −Δφt /dt ) =(P/π|dt −da |)・(dt Δφa −da Δφt )…(9') また、吸収体と透過膜の膜厚が異なる場合においては
(Da ≠Dt)(図3及び図4参照)、 ΔφD =Δφa a /da −Δφt t /dt …(10) 但し、 Δφa :吸収体のπ位相シフト平均膜厚da における位
相πからの最大変位量 Δφt :透過膜のπ位相シフト平均膜厚dt における位
相πからの最大変位量 ΔφD :π位相シフト平均膜厚Dにおける位相πからの
最大変位量 である。
【0167】ここで、 (9),(10)式は、吸収体及び透過
膜材料を構成する元素の吸収端が、0.654〜1.0
15nmの露光光波長帯域に含まれない物質に対しての
み成立する。本実施形態では、吸収体と透過膜の膜厚が
等しいとき(Da =Dt (≡D))の吸収体と透過膜の
各種材料の組み合わせにおける位相特性及び吸収特性を
式(8) と(9) を用いて調べ、位相シフトマスクに適した
吸収体材料と透過膜材料の組み合わせを検討した。
【0168】まず、透過膜材料として望まれる特性とし
て挙げた条件1,2の具体的な条件を以下の条件、 1)その全ての吸収端が、露光波長域の最短波長以下或
いは最長波長以上の領域である元素、或いは最短波長よ
り0.1nm以内に吸収端を持つ元素からなる元素(S
i:K 0.6738nmとRb:L3 0.6862
nm) 2)吸収が小さく、膜厚Dt が400nmにおいて露光
光の透過光の光強度の減衰が50%以下の物質 としたとき、この2条件を満たす単体元素の特性を下記
の(表15)に示す。
【0169】
【表15】
【0170】従って、(表15)に挙げたBe,B,
C,N,O,F,Na,Si,P,S,Cl,K,C
a,Sc,Ti,V,Cr,Rb,Sr,Y,Zr,N
b,Mo,I,Raは、露光波長域を0.65nmから
1.02nmの間に有するシンクロトロン放射光を露光
光源として用いたときの透過膜材料の構成元素として好
適である。
【0171】この(表15)では、各種単体元素の融
点、π位相シフト平均膜厚dt 及びその膜厚における位
相πからの最大変位量Δφt 、単位厚さ当たりの位相シ
フトの最大変位量Δφt /dt 示している。
【0172】同様に(表16)には、位相シフトマスク
の吸収体材料として露光波長域内に吸収端を含まない好
適な主な各種単体元素の融点、π位相シフト平均膜厚d
a 及びその膜厚における位相πからの最大変位量Δ
φa 、単位厚さ当たりの位相シフトの最大変位量Δφa
/da を示している。
【0173】
【表16】
【0174】(表16)で挙げた各種元素において露光
波長域を0.65nmから1.02nmの間に有するシ
ンクロトロン放射光を露光光源として用いたときに好適
な吸収体材料としては、この波長域のX線に対して吸収
の大きい元素である。ここで、吸収体膜厚400nmに
対して露光光の透過率25%以下の物質を挙げるとC
o,Ni,Cu,Zn,Ga,Rh,Pd,Ag,L
a,Ce,Pr,Nd,Sm,Eu,Gd,Tb,D
y,Ho,Pt,Auとなり、従って、これらの単体元
素及び合金が露光波長域を0.65nmから1.02n
mの間に有するシンクロトロン放射光を露光光源として
用いたメンブレン上に透過膜を有するX線露光用マスク
において好適な吸収体材料であると言える。
【0175】次に、透過膜付きX線露光用マスクの吸収
体材料として上記の好適な物質の中から以下の a)Au(Δφa =0.25π,da =441.0n
m) b)Cu(Δφa =0.13π,da =612.4n
m) c)Ni(Δφa =0.16π,da =566.1n
m) を用いたときのそれぞれの各種透過膜に対する位相特性
を式 (8),(9) に代入することにより求めた結果を、下
記の(表17〜19)に示す。
【0176】
【表17】
【0177】
【表18】
【0178】
【表19】
【0179】次に、吸収体材料としてa)〜c)の材料
を用いたときの位相特性について説明する。
【0180】a)Au吸収体と各種単体元素透過膜を組
み合わせたときの位相特性 (表17)では、露光波長域内におけるπからの最大変
位量をΔφD として示し、その値の低い元素から順番に
並べている。AuではSiと組み合わせたときに一番優
れ、次にSr,Rb,Y,Zr,P,Bの順となる。S
iの場合(同様にRb)、そのK吸収端が露光波長域の
短波長域に含まれるため、 (9)式の結果と少し異なり、
実際にはD=547.46nm,ΔφD =0.235π
となり、Auとの組み合わせではSrが一番優れた材料
となる。Auは単位厚さ当たりの位相シフトの最大変位
量Δφa /da が大きく、位相特性Δφa を0.25π
を0.22πに改善する程度で大きく特性を変えること
は困難である。単体元素の特性は、これらの元素からな
る化合物の特性評価にも有効で、D,ΔφD は構成元素
の平均値となる。
【0181】従って、透過膜及び吸収体の厚さDが薄く
(D≦1μm)、ΔφD が小さく、且つ高融点(≧15
00℃)の物質は、Si,Zr,SrO,SiO2 ,S
rS,Yx Siy ,SiP,Sr3 2 ,ZrP,Zr
Si,Y2 3 ,Yx y と予測できる。
【0182】b)Cu吸収体と各種単体元素透過膜を組
み合わせたときの位相特性 (表18)では、Auにおける結果同様に露光波長域内
におけるπからの最大変位量をΔφD として示し、その
値の低い元素から順番に並べている。CuではTiと組
み合わせたときに一番優れ、次にY,C,Si,Sr,
Sc,Vの順となる。Cuは、単位厚さ当たりの位相シ
フトの最大変位量Δφa /da が小さく、Moを除く
(表18)の全ての元素を用いることが可能であり、位
相特性Δφ a =0.13πを改善することは容易であ
る。
【0183】従って、透過膜及び吸収体の厚さDが薄く
(D≦1μm)、ΔφD が小さく、且つ高融点(≧15
00℃)の物質は、Si,SiO2 ,SrO,SrS,
xSiy ,SiP,Sr3 2 と予測できる。
【0184】c)Ni吸収体と各種単体元素透過膜を組
み合わせたときの位相特性 (表19)も最大変位量をΔφD として示し,その値の
低い元素から順番に並べている。NiではVと組み合わ
せたときに一番優れ、次にY,C,Si,Cr,Ti,
Cの順となる。Niは、Cu同様、単位厚さ当たりの位
相シフトの最大変位量Δφa /da が小さく、Naを除
く(表19)の全ての元素を用いることが可能であり、
位相特性Δφa =0.16πを改善することは容易であ
る。
【0185】従って、透過膜及び吸収体の厚さDが薄く
(D≦1μm)、ΔφD が小さく、且つ高融点(≧15
00℃)の物質は、Si,SiC,Si3 4 ,SiO
2 ,SrO,SrS,Yx Siy ,SiP,Sr3 2
と予測できる。
【0186】以上の結果より、吸収端を露光波長域内に
含まない各種吸収体に対して、その露光波長に対する位
相分散を小さくする透過膜材料の単体元素を見つけるこ
とは(9)式より容易であり、吸収体の位相分散を小さく
すると示された単体元素又はそれらの元素を組み合わせ
た化合物、多層膜は透過膜材料として適していると考え
られる。
【0187】実際にπ位相シフトさせたときの各透過膜
材料の特性は、 吸収体材料:Au,Cu,Ni 位相シフト量:π 透過膜:SiO2 ,SrO,SrF2 ,SiC,Si,
MgO,Al2 3 ,TiO2 光源:シンクロトロン放射光(露光波長域: 0.654〜1.
015 nm) としたときの位相特性を(表20)に示す。
【0188】
【表20】
【0189】露光波長域内に吸収端を含まない透過膜材
料では、(表17〜19)にて位相補正に好適であると
示されている単体元素又はそれらを組み合わせた化合物
を透過膜に用いた場合において、位相シフト量の変位|
ΔφD |は改善されていることが判る。ここで、Cu,
Ni吸収体の位相特性は透過膜によって大きく改善さ
れ、Auはあまり改善されず、(表17〜19)におい
て示された結果と良く一致している。
【0190】また、吸収端を含まない透過膜材料でも、
(表17〜19)において位相補正に適さないと示され
ている単体元素又はそれらを組み合わせた化合物では|
Δφ D |は改善されていないことが判る(例:AuのT
iO2 埋め込み構造)。また、膜厚も他のSiO2 ,S
rO,SiC,Si透過膜材料に比べ厚くする必要があ
る。露光波長域内に吸収端を含む透過膜材料MgO(M
g:K吸収端0.9512nm)、Al2 3 (Al:
K吸収端0.7948nm)の埋め込み構造において
は、何れの吸収体材料においても位相特性|ΔφD |が
改善されないことも判る(表21参照)。
【0191】
【表21】
【0192】以上より、SiO2 ,SrO, SiC,
Si透過膜材料がAu,Cu,Ni吸収体には適してい
ると言える。位相特性は、SrO膜がAu,Cu,Ni
吸収体の位相特性|ΔφD |を最も改善し、それぞれ
0.22π,0.04π,0.07πとし、薄膜化の点
では、SiO2 ,SiC,Si膜が優れている(表20
参照)。
【0193】なお本実施形態では、吸収体と透過膜の膜
厚が等しい(Da =Dt (≡D))としたときの透過膜
による位相特性評価のみを行ったが、図3及び図4に示
すような吸収体と透過膜の膜厚が異なる場合や図2
(b)(c)及び図3(b),図4(b)に示すような
第2の透過膜がある場合においても、式(9) 又は(10)の
何れかの式を用いて評価することは容易であり、その場
合においても同様の位相補償効果があることは明らかで
ある。
【0194】(第6の実施形態)第5の実施形態より、
透過膜材料は、吸収体材料に合せた位相補償効果を持つ
材料の選択が必要であることが示されたが、用いる露光
光のX線に対して吸収の小さく透過率の高い物質で、マ
スクコントラストを低下させないことも重要である。こ
こでは、図18〜図22に位相補償効果を持つ以下の透
過膜材料の波長0.2〜1.2nmに対する透過特性を
示す(比較のためにSiO2 膜(膜厚1μm)の透過特
性を全ての図に挿入)。
【0195】即ち、Si3 4 ,SiC,Si,ダイヤ
モンド膜(膜厚1μm)の透過特性を図18に示す。M
g,Al,Si単体元素及びその酸化物材料(原子番
号:12〜14)、具体的にはMg,Al,Si,Mg
O,Al2 3 ,SiO2 膜(膜厚1μm)の透過特性
を図19に示す。Ca,Sc,Ti単体元素及びその酸
化物材料(原子番号:20〜22)、具体的にはCa,
Sc,Ti,CaO,Sc2 3 ,TiO2 膜(膜厚1
μm)の透過特性を図20に示す。Sr単体元素及びそ
の化合物材料(原子番号:38)、具体的にはSr,S
rO,SrF2 膜(膜厚1μm)の透過特性を図21に
示す。Y,Zr単体元素及びその化合物材料(原子番
号:39、40)、具体的にはY,Zr,Y2 3 ,Z
rO2 膜(膜厚1μm)の透過特性を図22に示す。
【0196】また、図18〜図22に示した物質の位相
特性及び融点を、下記の(表22)に示す。
【0197】
【表22】
【0198】透過特性と(表22)に示す融点の観点か
ら、露光波長域0.7〜1.2nmにおいてはSi,S
3 4 ,SiC,SiO2 ,SrO膜、また露光波長
域0.3〜0.7nmにおいてはダイヤモンド,Ca
O,Sc2 3 ,TiO2 膜が適した透過膜材料である
ことが示される。
【0199】上記透過膜をAu,Cu,Ni吸収体と組
み合わせたときのマスクコントラストの結果を、それぞ
れ下記の(表23〜25)に示す。
【0200】
【表23】
【0201】
【表24】
【0202】
【表25】
【0203】SrF2 ,MgO,Al2 3 ,TiO2
膜は、何れの場合も透過膜の無いときに比べマスクコン
トラストは80%以下に低下し、また膜厚も他のSiO
2 ,SrO,SiC,Si透過膜材料に比べ厚くする必
要があるため、不適である。従って、波長域0.6〜1
nmにおいては透過特性が優れ、この波長域で吸収の大
きい吸収体物質に対する位相特性も好適である透過膜材
料は、SiO2 ,SrO,SiC,Siである。
【0204】(第7の実施形態)第5及び第6の実施形
態では、Au,Cu,Niを吸収体材料としたときの位
相分散を小さくする単体元素及び化合物を示したが、他
の各種吸収体に対しても位相分散の小さい単体元素を見
つけることは(9),(10)式より容易である。(9),(10)式よ
り吸収体に対して位相分散を補正すると示される単体元
素又はそれらの元素を組み合わせた化合物、多層膜を透
過膜材料に用いたとき、マスクを透過したX線の位相分
散は小さく抑えられる。
【0205】従って、吸収体の透過膜埋め込み構造のX
線露光用マスクは、シンクロトロン放射光を用いたX線
等倍露光においても位相シフト効果により回折による解
像度の劣化が抑制されることが期待できることが示され
た。特にSiO2 透過膜付きマスクは、波長域0.6〜
1nmのX線露光における位相シフトマスクとして有効
であり、且つ既存の半導体プロセス技術によりその作成
を容易に行えるため、シンクロトロン放射光露光に最適
な位相シフトマスクの1つであると考えられる。
【0206】SiO2 ,ZrO,SrF2 ,SiC,S
iを透過膜を用いたとき、通常のマスクにおけるπ位相
シフトの結果よりNiにおいて、|Δφ|が0.16π
から0.07π〜0.125πに、Cuにおいて、|Δ
φ|が0.125πから0.04π〜0.09πに、ま
たAuにおいては|Δφ|が0.25πから0.22π
〜0.245πに改善できることが判る。特にSrOパ
ターンに埋め込んだ場合、メンブレン上の吸収体とSr
Oを透過したX線のそれぞれの位相φa とφtのシフト
量|φa −φt |は、Ni,Cu,Au吸収体に対し
て、それぞれ|φ a t |≦π±0.07π、π±
0.04π、π±0.22πとなり最も改善される。
【0207】同様に、図23〜図25には、吸収体A
u,Cu,Niと上記透過膜材料と組み合わせたときの
位相特性をそれぞれ示している。
【0208】ここで、Si3 4 ,SiC,Si,C
(ダイヤモンド)を透過膜として用いるとき、メンブレ
ン材料と同一の物質であるので、メンブレン上に吸収体
パターン形状を直接作成し、吸収体を埋め込むことで位
相制御が可能となるため、メンブレン、透過膜による吸
収を小さく抑え、膜厚の薄膜化及び工程数の減少が可能
であるため、簡便で好適である。
【0209】(第8の実施形態)本実施形態では、図2
〜図4に示す吸収体が好適な透過膜パターンに埋め込ま
れていることを特徴とするX線露光用マスクの作成法、
及び好適な吸収体,透過膜材料について具体的に説明す
る。
【0210】露光波長域が0.6〜1nmのシンクロト
ロン放射光を用いる場合、位相制御するために好適な吸
収体と透過膜材料であると示されたCu吸収体とSiO
2 透過膜を選択し、このときSiO2 透過膜パターンの
溝の深さは所望の位相シフト量とするための膜厚に設定
し、メンブレン材料上にSiO2 膜の成膜及びエッチン
グをし、最後に吸収体材料を溝中に埋め込む形で図2
(a)に示すX線露光用マスクを形成した。Cu吸収体
とSiO2 膜の組み合わせでは、π位相シフトさせるた
めの膜厚は、約0.84μmとなる。SiO2 は、軽い
元素からなりX線の透過性が良く、また容易にエッチン
グが行え、得られるSiO2 パターンの側面を垂直にす
ることが可能なためパターン線幅に対して膜厚の厚くな
る吸収体材料の埋め込みに適した材料である。
【0211】従って、任意の形状のパターンを形成する
ことが可能であり、位相制御のみならず吸収体がパター
ン線幅に対して膜厚の厚くなる場合に好適なマスク構造
である。このとき、メンブレン上の吸収体とSiO2
透過したX線のそれぞれの位相φa とφt のシフト量|
φa −φt |は、それぞれ|φa −φt |≦π±0.0
9πとなり、通常の図8に示すマスクにおけるπ位相シ
フトを行うときの特性|φ1 −φ2 |≦π±0.125
πより大きく改善される。
【0212】ここでは、埋め込む技術としてリフロー・
スパッタ法を用いて作成を行った。SiO2 パターン上
に埋め込み材料であるCuの吸収体をスパッタ法により
成膜した後、ウェハを加熱することでパターンの溝(ホ
ール)の中に埋め込み材料を流し込むことで吸収体パタ
ーンは精度良く加工される。高アスペクト比のSiO 2
パターンの微細溝中に吸収体材料を完全に埋め込むこと
や底部及び側面を完全に被覆することは、従来の真空蒸
着法やスパッタ法では困難であり、所望の吸収体パター
ンの形成は極めて困難である。本実施形態では、埋め込
み技術としてリフロー・スパッタ法を用いるためその微
細加工は容易となり、リフロー・スパッタを複数回繰り
返すことにより、CuをSiO2 パターンの微細溝中に
吸収体材料を完全に埋め込み、精度良くマスクパターン
を形成することができた。
【0213】また、リフロー・スパッタ法におけるリフ
ロー加熱は、膜のアニール効果を併せ持ち吸収体及びS
iO2 膜の低応力化され、応力分布の制御が可能であ
り、位置合せ精度や寸法精度の向上をはかることができ
る。また、ここでは透過膜パターン上へ吸収体物質を成
膜する際にスパッタ法を用いて行ったが、そのパターン
溝中の被膜特性(ステップ・カバレッジ)を良くするた
めに化学気相蒸着法を用いても良い。特に、アスペクト
比の高い構造においては、化学気相蒸着法が有効であ
る。
【0214】ここでは、SiO2 膜を透過膜に用いるこ
とにより説明したが、その他にもSiON膜も成膜時の
応力制御が容易であるため透過膜材料として好適な材料
である。また、SiONは、温度500℃,1時間の熱
処理においてもCuのSiON膜中への熱拡散が生じな
いことが各種測定(オージェ電子分光法及びラザフォー
ド後方散乱分光法)により示されており、透過膜パター
ン層として好適な材料である。従って、アスペクト比の
高い凹部への吸収体の埋め込みにおいても、SiON透
過膜においては高温で熱処理を行えるため、熱拡散及び
ボイドのない埋め込みが実現でき、高精度な吸収体パタ
ーンの形成が可能になる。
【0215】ここで用いる吸収体材料としては、リフロ
ー温度を低くするために融点の比較的低い(融点≦15
00℃以下)Mn,Co,Ni,Cu,Zn,Ga,L
a,Ce,Pr,Nd,Sm,Eu,Gd,Tb,D
y,Ho,Yb,Auの単体元素及びこれらの合金を用
いることが望ましい。
【0216】一方、透過膜材料としては、リフロー温度
に耐えられる融点の比較的高い(融点≧1500℃以
下)高融点物質のダイヤモンド,MgO,Al2 3
SiO 2 ,Si3 4 ,SiC,CaO,Ti,TiO
2 ,SrO,SrS,Y2 3,YSi,Zr,ZrO
2 等を用いることが望ましい。従って、波長0.6〜1
nmのシンクロトロン放射光によるX線露光において
は、第6の実施形態で示されたこの波長域の透過特性の
結果を併せて考えると透過膜材料にSiO2 ,SrO,
SiC,Si3 4 ,ダイヤモンドを用いることが望ま
しいことが導かれる。
【0217】また、この他にも、これらを構成する元素
からなる物質、例えばSiON等も同様に好適な透過膜
材料であることは明らかである。
【0218】吸収体材料として融点の比較的低い単体元
素及び合金としてSiO2 透過膜パターンへ埋め込んだ
ときの位相特性を、下記の(表26)に示す。
【0219】
【表26】
【0220】何れの単体元素及び合金においても、位相
特性は通常の図8に示すマスクにおけるπ位相シフトを
行うときの特性より大きく改善されることが示された。
【0221】以上より、本実施形態における図2〜図4
に示す吸収体が透過膜パターンに埋め込まれていること
を特徴とするX線露光用マスクは、その利点として次の
ことがあげられる。
【0222】1)第5の実施形態において好適な組み合
わせであると示された吸収体及び透過膜材料のX線露光
用マスクは、波長域0.6〜1nmのシンクロトロン放
射光によるX線露光において好適な位相シフトマスクと
なる。
【0223】2)SiO2 透過膜は、軽い元素からなり
X線の透過性が良く、また容易にエッチングが行え、得
られるSiO2 パターンの側面を垂直にすることが可能
なためパターン線幅に対して膜厚の厚くなる吸収体材料
の埋め込みに適した材料である。
【0224】3)リフロー・スパッタ法では、パターン
の溝(ホール)中に吸収体材料を加熱し流し込むため、
高アスペクト比の透過膜パターンの微細溝中にも吸収体
材料は完全に埋め込まれ、精度良くマスクパターンを形
成することができる。
【0225】4)フロー加熱により吸収体及び透過膜の
低応力化が図れ、膜応力による歪みが低減される。
【0226】5)吸収体及び透過膜の膜厚は等しく平坦
化されているため、吸収体パターンのみが形成されてい
るX線露光用マスクにおける微細パターン凹部に付着し
たゴミ等の異物が存在せず、ゴミ等の異物がその表面に
付着しても、表面を洗浄するだけで除去できる。
【0227】このように本実施形態では、いかなる所望
位相差のみならずマスクコントラストに対しても精度良
く制御することを可能とする。従って、これらの吸収体
材料が透過膜パターンに埋め込まれていることを特徴と
する本実施形態で示すX線露光用マスクは、シンクロト
ロン放射光を用いたX線等倍露光においても位相シフト
効果により回折による解像度の劣化が抑制され、また低
応力化による膜歪みの低減により位置合せ精度、寸法精
度が向上されるため、0.2μm以下の超微細パターン
形成技術に応用する上で好適なマスクである。
【0228】次に、本実施形態では、これらの位相制御
性に優れた吸収体材料と従来の吸収体材料をサイズ0.
1μmの各種パターン(ホール,アイランド,ライン,
スペース,ライン・アンド・スペース)の転写において
用いたときの両者の特性を比較する。マスクとしては図
1、図2〜図4に示すマスク構造、シンクロトロン放射
光は図5に示す波長域0.6〜1nmの放射光強度の光
源、ウェハとマスク間のギャップ長を5〜10μmとし
て等倍近接露光を行ったときのネガレジスト塗布のウェ
ハ上に投影されるX線強度の分布を求めることにより、
それぞれの特性を評価する。吸収体としては、以下の 1)Cu(銅:原子番号29)のSiO2 膜への埋め込
み構造 π位相吸収体膜厚:da=843.01nm π位相シフト量 :|φa−φt|≦π±0.09π 2)Ta(タンタル:原子番号73) π位相吸収体膜厚:da=679.50nm π位相シフト量 :|φ1 −φ2 |≦π±0.54π を用いたときの結果を比較した。
【0229】このとき、マスク・ウェハ間のギャップ長
を変えたときのウェハ上の各位置におけるドーズ量(或
いは光強度の対数)を求め(通称、この図は Exposure-
GapTrees と呼ばれる)、露光裕度を評価する。あるマ
スク・ウェハ間のギャップ長におけるパターンサイズに
対して、相対差±10%以内のパターンを得るために必
要なそれぞれの露光光のドーズ量間の領域(ここではパ
ターンサイズは100nmなので、90nmサイズにな
るドーズ量と110nmサイズになるドーズ量の間の領
域)を露光裕度(Exposure Latitude)と定義し、ギャ
ップ長を5〜10μmに変えたときの露光裕度を求め
た。また、ホール,アイランド,ライン,スペース,ラ
イン・アンド・スペースの全てのパターンに対する露光
裕度の重なり領域を露光窓(Exposure Window)と定義
した。従って、ここにおける露光裕度,露光窓が大きい
ほど、プロセス裕度,露光裕度があり、高精度のパター
ン転写が可能な優れたX線露光用マスクであるといえ
る。
【0230】Cu−SiO2 ,Taの吸収体膜厚を変
え、その露光特性を評価した結果、Cu−SiO2 のX
線露光用マスクにおいては膜厚約550nmにおいて、
TaのX線露光用マスクにおいては膜厚約400nmに
おいて、露光裕度,露光窓は最大になることが示され
た。この最適膜厚において、Taに比べCu−SiO2
を吸収体に用いたマスクの方がTaを吸収体に用いたマ
スクよりも露光裕度,露光窓は大きく、ギャップ長10
μmにおけるライン・アンド・スペースパターンの露光
裕度の大きさは約1.3倍、ギャップ長5μmにおける
露光窓の大きさは14.4倍もTaに比べて大きい。
【0231】これらの結果は、Cu−SiO2 ,Ta吸
収体の吸収特性は、ほぼ同じ特性であることから(吸収
体膜厚400nmにおけるCu−SiO2 及びTaのマ
スクコントラストはそれぞれ、3.42〜3.61,
3.43〜3.58、表6参照)、その位相制御性に起
因していることは明らかである。
【0232】等倍X線近接露光においては、マスク・ウ
ェハ間のギャップ長がパターンサイズに比べ大きく光の
回折の影響や幾何学的光路差が生じるために、吸収体に
よる位相シフト量は必ずしもπが最適になるとは限らな
いが、膜厚が変化しても位相シフト量の波長域における
変位の割合は変化しないため、位相シフト量の制御性が
重要であることに代わりはない。
【0233】Cu-SiO2 は以下の 1)Δφ≦0.10πを満たすもの(0.95≦|co
sφ|≦1) 露光波長域内の波長に対する位相シフト量の最大及び最
小位相シフト量が露光波長域内の平均位相シフト量の±
10%以内 2)π位相シフト膜厚におけるマスクコントラスト値C
が10<C<20を満たすもの 3)π位相シフト膜厚da ≦1000nmを満たすもの
(L/Sの幅0.1μmのパターンにおいてアスペクト
比10以下)の3条件全てを満たす好適な吸収体材料で
ある。
【0234】これらの材料を吸収体に用いたX線露光用
マスクにより露光する手法は、マスクコントラストは適
切であり、吸収体を透過した波の位相シフト量の差が広
い露光波長の帯域に渡りほぼ一定となり、透過波の位相
シフト量は制御され位相シフトマスクの効果を併せ持つ
ことより、転写パターンの解像度は格段に向上し、微細
パターンの転写に好適である。また、ここでは位相シフ
ト量をπとしたときの結果について説明したが、X線露
光用マスクのようなハーフ・トーン型マスクにおいては
位相シフト量がπとなる条件が必ずしも最適ではなく、
吸収特性(マスクコントラスト)と位相特性の両者の関
係が重要となり、転写するパターン、マスクとウェハ間
のギャップ長、レジスト材料の実験条件により好適なマ
スクコントラスト値、位相シフト量は変化するが、第3
から第7の実施形態において示された上記の条件を満た
す吸収体材料及び吸収体材料と透過膜材料の組み合わせ
を用いれば、所望のマスクコントラスト値と位相シフト
量を持ったX線露光用マスク(位相シフトマスク)を形
成することが容易であることは明らかである。また、
(表11,14,26)の物質や上述した以外の組成
比、グループIII とIV、またグループIとIVの組み合わ
せの2元化合物においても3つの条件を満たす物質は存
在する。
【0235】ここでは吸収体としてCo(コバルト),
Ni(ニッケル),Cu(銅),Zn(亜鉛),Ga
(ガリウム),ランタノイド系希土類元素(原子番号5
7〜71)のLa(ランタン),Ce(セリウム),P
r(プラセオジム),Nd(ネオジム),Pm(プロメ
チウム),Sm(サマリウム),Eu(ユウロピウ
ム),Gd(ガドリニウム),Tb(テルビウム),D
y(ジスプロシウム),Ho(ホロミウム),Er(エ
ルビウム),Tm(ツリウム),Yb(イッテルビウ
ム),Lu(ルテチウム)及びこれらの合金、またCr
(クロム),Mn(マンガン),Fe(鉄),Hf(ハ
フニウム),Ta(タンタル),W(タングステン),
Re(レニウム),Os(オスミウム),Ir(イリジ
ウム),Pt(白金),Au(金),Hg(水銀)の何
れかの元素とランタノイド系希土類元素(原子番号57
〜71)の何れかの元素との合金を用いたとき、所望の
位相シフト量の制御、マスクコントラストを精度良く得
ることができることが明らかになった。
【0236】(第9の実施形態)第8の実施形態では、
吸収体材料としては透過膜材料よりも融点の低い物質を
選んだときのX線吸収体及び透過膜の形成法について述
べたが、本実施形態では、それとは反対に吸収体に融点
の高い吸収体材料としては透過膜材料よりも融点の高い
物質を選んだときのX線吸収体及び透過膜の形成法につ
いて述べる。
【0237】吸収体パターンをはじめに形成し、その後
に透過膜を吸収体パターン上に堆積して成膜することに
より、透過膜を吸収体パターン溝中に埋め込む。このと
きの堆積,成膜工程はスパッタリング法又は化学気相蒸
着法により行い、この工程に引き続き行われる加熱工程
により吸収体パターン溝中に透過膜を埋め込む。
【0238】本実施形態では、波長0.6〜1nmのシ
ンクロトロン放射光によるX線露光において透過膜材料
としてSrを、吸収体材料としてはAuを用いた。Sr
とAuはその融点がそれぞれ770℃,1064℃であ
り、リフロー工程により図2〜図4に示す吸収体パター
ン溝中に透過膜が埋め込まれた構造を形成することが可
能である。前記(9')式より、Sr透過膜はAuに対して
位相補償効果の大きい元素であることが示され(表17
参照)、実際に位相分散は透過膜によりΔφD=0.2
5πからΔφD =0.225πに改善できる。同様に、
吸収体材料をPtに代えてもSr透過膜により、位相分
散をΔφD =0.27πからΔφD =0.25πに改善
できる。
【0239】以上より、ここで用いる透過膜材料として
は吸収体材料に比べ融点が低い物質で、且つ全ての吸収
端が、露光波長域の最短波長以下或いは最長波長以上の
領域である元素、或いは最短波長近傍(0.1nm以
内)に吸収端を持つ元素からなる単体元素,化合物,積
層膜であり、また露光波長に対して吸収が小さく透過率
の高い物質が望ましい。
【0240】最大光強度波長を0.6〜1nmに持つシ
ンクロトロン放射光を用いた露光において上記条件に該
当する物質は、融点が1000℃以下のCa,Sr,B
a単体元素及びこれらの化合物が挙げられる。
【0241】一方、吸収体材料は透過膜材料の融点より
も高い物質であり、且つ全ての吸収端が、露光波長域の
最短波長以下或いは最長波長以上の領域である元素或い
は単体元素,化合物,積層膜であり、また露光波長に対
して吸収の大きい物質が望ましい。
【0242】最大光強度波長を0.6〜1nmに持つシ
ンクロトロン放射光を用いた露光において上記条件に該
当する物質は、融点が1500℃以上のOs,Ir,P
t単体元素及びこれらの合金が挙げられる。
【0243】(第10の実施形態)本実施形態では、シ
ンクロトロン放射光等の広帯域の露光光源を用いたとき
において、吸収体に各種単体元素,化合物を用いたとき
の、それぞれの元素,化合物に対して位相制御性に優れ
る露光波長域を示す。吸収体材料に好適な露光波長域内
に波長分布を持つ露光光源を用いたとき、露光光の波長
域にわたり位相シフト量が一定となり、位相シフト効果
により、露光対象物のパターン精度の向上がはかれる。
【0244】露光波長域としては、X線露光用マスクに
入射する最大光強度の波長における光強度の1/10以
上の強度を持つ波長域を露光波長域と定義し、このとき
図1に示すπ位相シフトマスクにおいて 1)|Δφ|≦0.10π(0.95≦|cos φ|≦1)を満た
す露光波長域 但し、φ:吸収体膜厚がπ位相シフト平均膜厚におい
て、露光波長域内における位相πからの最大変位量。従
って、1)は露光波長域内の波長に対する位相シフト量
の最大及び最小位相シフト量が該露光波長域内の平均位
相シフト量の±10%以内を意味する。
【0245】2)Δλ=露光波長域の最長波長−露光波
長域の最短波長≧0.4nm 3)露光波長域の最短波長が1.5nm以下 を満たす単体元素及び化合物について下記の(表27,
28)に示す。
【0246】
【表27】
【0247】
【表28】
【0248】このとき、各元素及び化合物を露光波長域
の最短波長により以下の5つに分類し、 i)最短波長0.4〜0.6nm ii)最短波長0.6〜0.8nm iii)最短波長0.8〜1nm iv)最短波長1.0〜1.2nm v)最短波長1.2〜1.5nm また露光波長域の中心波長における吸収係数α、π位相
シフト平均膜厚d、及び1/exp(−α×d)を示し
ている。シンクロトロン放射光の強度分布等によりマス
クコントラスト値は変化するが、ここで1/exp(−
α×d)は、|Δφ|≦0.10π(0.95≦|cos φ|≦
1)を満たす露光波長域を用いたときのマスクコントラ
スト値の目安となる。
【0249】(表27,28)に挙げた各元素及び化合
物は、何れも1/exp(−α×d)の値は大きく、こ
の表で示されている露光波長域内に波長分布を持つシン
クロトロン放射光を用いた場合、位相,吸収特性に優れ
た吸収体材料となることは明らかである。従って、露光
に用いるシンクロトロン放射光の露光波長域に合わせて
吸収体の材料を選ぶことが重要である。
【0250】また、原子番号71から79のLuからA
uまでの単体元素及びその化合物は、以下に示す波長
域、 Lu:1.36nm≦λ≦7.37nm Ta:1.27nm≦λ≦7.08nm Ta4 B:1.27nm≦λ≦7.08nm Ta4 Ge:1.35nm≦λ≦8.07nm W:1.25nm≦λ≦6.92nm Re:1.21nm≦λ≦5.89nm Os:1.49nm≦λ≦5.98nm Ir:1.34nm≦λ≦7.40nm Pt:1.28nm≦λ≦5.37nm Au:1.03nm≦λ≦5.57nm の広い波長域において、露光波長域Δλを0.4nm以
上で、|Δφ|≦0.10π(0.95≦|cos φ|≦1)を満
たすことが可能である。また、波長1nm以上の波長域
では何れの元素、化合物も吸収が大きく、π位相シフト
膜厚も薄くなるため、この露光波長域における好適な吸
収体材料である。
【0251】(第11の実施形態)次に、上記説明した
マスクを用いた微小デバイス(半導体装置,薄膜磁気ヘ
ッド,マイクロマシン等)製造用の露光装置の実施形態
を説明する。
【0252】図26は、本実施形態のX線露光装置の構
成を示す図である。シンクロトロン放射光源14から放
射された光を集光ミラー22にて集光し、X線強度を高
め揺動ミラー23にて平行光に整形し露光領域を走査し
て露光面積を広げた。ここで、シンクロトロン放射光を
X線ミラーによって反射させる露光方法において、露光
位置によって波長分布に大きな差が生じるが、本実施形
態におけるX線露光用マスクでは、位相シフト量が波長
依存性を示さないため、露光むらや解像度の劣化を抑え
ることができる。
【0253】X線取り出し窓には、ダイヤモンド窓2
4,ベリリウム窓25,窒化珪素窓26の3段とし、そ
れぞれ超高真空A/高真空B、高真空B/大気圧ヘリウ
ムC、ヘリウムC/空気Dを隔離した。X線露光用マス
ク27は上記説明した何れかの実施形態で説明した構造
を有し、メンブレンを透過した露光光の最大強度波長が
0.6〜1nmになるように上記条件を設定した。X線
露光用マスク27に形成されているパターンを、ステッ
プアンドリピート方式やスキャニング方式等によって、
ウェハステージ28に保持したウェハ29上に露光転写
する。
【0254】(第12の実施形態)次に、本発明のX線
露光用マスクの製造方法について説明する。
【0255】図27及び図28は、本発明の第12の実
施形態に係わるX線露光用マスクの製造工程を示す断面
図である。
【0256】まず、図27(a)に示すように、洗浄さ
れた厚さ525μmの4インチSi(100)ウェハ1
01に減圧CVD法を用いて、基板温度1250℃,圧
力30Torrの条件で、10%水素希釈のシランガス15
0sccm,10%水素希釈のアセチレンガス65sccm,1
00%塩化水素ガス150sccmをキャリアガスである水
素10SLM と共に反応管内に導入し、X線透過性薄膜1
02となる膜厚2μmのSiCを成膜した。続いて、こ
の基板の表面にRFスパッタリング装置を用いて、Ar
圧力1mTorrの条件で、反射防止膜兼エッチングストッ
パ103となる膜厚98nmのアルミナ膜を成膜した。
そして、反射防止膜兼エッチングストッパ103上に、
TEOSを主原料とするCVD法により、膜厚0.8μ
mのパターニング層104となるSiO2 膜を形成し、
成膜後にアニール処理を施すことにより、SiO2 膜の
応力をほぼ0MPaに調整した。
【0257】次いで、図27(b)に示すように、RI
E装置を用いて、アルミニウムエッチングマスク(図示
せず)を用い、圧力10mTorr,RFパワー200Wの
条件で、CF4 ガス25sccm,O2 ガス40sccmを供給
し、裏面の中心部の半径70mmの領域のSiC膜を除
去し、バックエッチングのマスクとなる開口領域105
を形成した。
【0258】次いで、図27(c)に示すように、紫外
線硬化型エポキシ樹脂接着剤(図示せず)を用いて、外
径125mm,内径72mm,厚さ6.2mmのガラス
リングをフレーム106として接合し、基板を作成し
た。さらに、バックエッチング装置を用いて、SiCの
除去された部分に弗酸と硝酸の1対1混合液を滴下し、
Siウェハ101をエッチング除去した。
【0259】次いで、図27(d)に示すように、Si
2 膜104上に市販の電子ビーム用ポジ型レジストZ
EP−520(粘度12cps)を回転数2000rp
m,50秒の条件で回転塗布し、ホットプレートを用い
て175℃,2分間のベーク処理を行い、膜厚0.3μ
mの感光膜107を形成した。そして、加速電圧75k
Vの電子ビーム描画装置を用いて、感光膜107にパタ
ーン描画を行った。所望の描画精度を得るために、描画
は4回の重ね書きによりパターンを形成する多重描画を
行い、基準照射量を96μC/cm2 として、照射量補
正により近接効果補正を行った。
【0260】パターンの描画後、現像処理として市販の
現像液ZEP−RDを用いて液温18℃,1分間の条件
で現像を行い、引き続きMIBKで1分間のリンスを行
い現像液を除去した。続いて、形成されたレジストパタ
ーンを基に、CHF3 及びCOガスを用いて、反応性イ
オンエッチングによりSiO2 膜104を加工した。そ
の後、残留したレジスト107を酸素プラズマ中で灰化
処理して除去した後、硫酸と過酸化水素水の混合液中で
洗浄した。
【0261】次いで、図28(a)に示すように、RF
スパッタリング装置を用いてAr圧力3mTorrの条件
で、X線吸収体108となる膜厚0.6μmの銅(C
u)膜を成膜し、続いて図28(b)に示すように、ス
パッタリングと同一真空中で、550℃,1分間の熱処
理を施し、SiO2 膜104のパターンの凹部に凝集埋
込を行った。
【0262】最後に、余分なCuの除去はレジストエッ
チバックと呼ばれる以下の方法で行った。まず、先程の
レジスト塗布に用いた装置と同一の装置で、図28
(c)に示すように、市販の電子ビーム用レジストZE
P−520(粘度12cps)を回転数2000rp
m,50秒の条件で回転塗布し、ホットプレートを用い
で175℃,2分間のベーク処理を行い、膜厚0.3μ
mのレジスト膜109を形成した。このとき、回転塗布
の特性から、表面はほぼ平坦な塗布形状となる。
【0263】次いで、図28(d)に示すように、HB
rガスを用いた反応性イオンエッチングにより、レジス
ト膜109とCu膜108のエッチング速度がほぼ等し
くなる条件で、マスク表面をSiO2 表面が露出するま
でエッチングした。
【0264】以上の方法により、所望のX線露光用マス
クを作成することができるが、本実施形態により作成し
たマスクには以下のような利点があることが判明した。
まず第1に、吸収体の内部応力を所望の値に制御するこ
とができ、これにより高精度のX線露光用マスクを容易
に得ることが可能になるということである。これは、次
の理由による。
【0265】従来の反応性イオンエッチングで直接加工
したX線吸収体では、吸収体の成膜時に発生する内部応
力がそのまま残留するため、高精度のX線露光用マスク
を作成するためには、吸収体の成膜条件を高精度に制御
する必要があり、面内の応力分布の発生も5MPa以下
といった極めて小さい値に抑制する必要があった。これ
に対し本実施形態では、吸収体の成膜後に加熱処理を行
うことにより凝集埋め込みを行う、いわゆるリフローを
引き起こさせているので、成膜時の内部応力は流動時に
一旦開放されるため、内部応力はリフロー工程にのみ依
存する。即ち、面内均一性を含めたリフロー工程の温度
制御さえ十分に管理されていれば、所望の内部応力の吸
収体を形成することが可能である。通常、スパッタリン
グ工程の応力管理よりも、リフロー工程の温度管理によ
る応力調整の方が遙かに容易であり、1MPa程度の応
力分布を得ることが可能であるため、本実施形態により
高精度のX線露光用マスクを容易に得ることが可能とな
る。
【0266】第2に、本実施形態ではマスクパターンの
形成のために行われる第1のレジスト塗布工程と、レジ
ストエッチバックを行うための第2のレジスト塗布工程
と同一の塗布特性を有する装置、より望ましくは同一の
装置を用いているため、以下に述べるように、作成され
たマスクを用いて転写露光を行う際に寸法精度の向上を
はかることが可能となる。
【0267】一般に知られているように、レジスト膜厚
に分布がある場合に電子ピーム描画・現像を行うと、同
一パターンを同一条件で描画しても、図29(a)に示
すように、レジスト膜厚に対応して、レジスト膜厚の厚
い部分では薄い部分よりも寸法が小さくなる。具体的に
は、1%のレジスト膜厚変動で、1%程度の寸法変動が
発生する場合も存在する。ところが、本実施形態のよう
に、同一の塗布特性を持つレジスト塗布装置を用いてレ
ジストエッチバック工程を行うと、電子ビーム描画の際
にレジスト膜厚が厚かったために寸法が小さくなってし
まった部分は、図29(b)に示すように、レジストエ
ッチバック工程においてもレジスト膜厚が厚くなるた
め、レジストエッチバック工程の終了後には、図29
(c)に示すように、レジスト膜厚の分布のために吸収
体が小さくなってしまった部分では、吸収体が大きくな
ってしまった部分よりも膜厚の厚い吸収体が形成され
る。
【0268】このようなマスクを実際の露光に用いる
と、吸収体の小さくなってしまった部分では、膜厚が厚
くなっているためにコントラストが上がり、吸収体の大
きくなってしまった部分では、膜厚が薄くなっているた
めにコントラストが下がる。このため、転写により得ら
れるパターンの寸法は、これらが相殺してパターン寸法
均一性が向上し、寸法精度が向上することが判明した。
即ち、本実施形態により、レジスト塗布膜厚分布に起因
して発生する吸収体寸法の分布により引き起こされる転
写パターンの寸法精度劣化を、救済することが可能とな
る。
【0269】なお、本実施形態においては、レジスト膜
109の代わりに回転塗布の可能な他の材質の膜、例え
ばSOG膜や回転塗布可能なITO膜等を使用しても構
わない。
【0270】以上の工程により製作されたマスクを用い
て、SOR光源にミラーと真空隔壁Be膜を備えたビー
ムラインを用いた中心波長0.8nmの露光光を用い
て、Siウェハ上に塗布されたレジストに転写を行った
ところ、線幅70nmのパターンを形成することができ
た。
【0271】(第13の実施形態)第12の実施形態で
は、平坦部に残留した余分なCuをレジストエッチバッ
ク法により除去したが、以下に示すような機構を備えた
装置を用いれば、ポリッシング法により除去することも
可能である。従来のポリッシング装置では、X線露光用
マスクの様な自立薄膜で構成される物体のポリッシング
を行うことは困難であるが、本実施形態では、マスクの
被研磨面側に流体を満たし、この流体の圧力を制御する
ことにより、これを可能としている。
【0272】図30は、本実施形態に係わるポリッシン
グ装置を説明するための断面図である。通常のポリッシ
ング装置と同様に、回転可能な研磨定盤211上に樹脂
含浸不織布からなる研磨パッド212が取り付けられて
おり、研磨剤213が研磨剤タンク214より供給量制
御機構215を介して研磨剤供給配管216を通り研磨
パッド212の近傍にて吐出される機構が備わってい
る。
【0273】リフロー工程を終了したマスク217は、
ゴム製のOリング218を介して台座219にクランプ
220により固定されている。台座219には圧力調整
流体221となる純水の流入管222及び流出管223
が接続されており、台座全体は回転可能な機構に接続さ
れている。流出管223には圧力計224が接続され、
流入管222,流出管223にはそれぞれ流量調整バル
ブからなる流量調整機構225a,bが接続されてお
り、圧力計224の出力に応じて流量を制御して、台座
部分の流体221の圧力が一定に調整される機構が備わ
っている。また、本流体は恒温装置226を介して循環
しており、ポリッシング中の被研磨面の温度を一定に保
つことができるので、温度に敏感なプロセスの安定化を
はかることができるようになっている。
【0274】更に精度を向上させるために、台座219
にはマスクのX線透過性薄膜までの距離を監視するセン
サ227が取り付けられており、センサ227の出力は
制御計算機228に取り込まれ、必要に応じて、圧力計
224のみでなく、距離センサ227の出力を併用して
流体221の圧力を制御することができる。通常、X線
露光用マスク表面の変位は圧力に対して非常に敏感であ
ることに加え、ポリッシング工程ではポリッシング面へ
の圧力と共にポリッシング面の形状の一様性がプロセス
管理上重要であるため、このX線露光用マスク表面まで
の距離を監視するセンサの使用は、ポリッシング工程の
安定化に大きな役割を果たす。そして、圧力の管理に関
しても、流量調整バルブに加えて、シリンダによって構
成される体積変形可能な機構229を設け、2つの流量
調整バルブの間の流体の占める体積を制御することによ
り、より精密な圧力制御が可能な機構を備えている。
【0275】具体的には、第12の実施形態において、
リフロー工程の終了した基板(図28(b))を図30
のポリッシング装置を用いて、流体圧力が300g/c
2となる条件でX線露光用マスク表面の高さが一定に
なるように制御しつつ、研磨定盤211及び台座219
をそれぞれ100rpmの回転速度で互いに反対方向に
回転させながら、研磨剤213を10ml/minの速
度で研磨パッド212に供給し、余分なCuの除去を行
った。研磨剤には、0.12mol/lのグリシン(C
2 5 2 N)水溶液と0.44mol/lの過酸化水
素水(H2 2)との混合溶液に、研磨粒子として平均
粒径30nmのシリカ粒子を5.3重量%で分散させ、
0.001mol/lのベンゾトリアゾール(C6 5
3 )を添加したものを使用した。
【0276】以上の条件におけるCuの研磨速度は約9
0nm/minであり、十分な速度で処理が可能である
ことが判った。ポリッシング工程の終点検出は、処理時
間と共に、研磨定盤の駆動モータの電圧変化をモニタす
る方法を併用して行った。即ち、通常研磨定盤の駆動モ
ータの電圧は、ポリッシング開始直後に上昇してほぼ一
定の電圧になり、広い平坦部に残留したCuがほぼ除去
される時点から、再度上昇するので、この電圧上昇を検
知してポリッシング工程の終了処理を行うことにより、
再現性良く処理を行うことができる。ポリッシングの終
了した基板は、ポリッシング装置から取り外された後
に、純水で洗浄されて研磨剤が除去され、さらにオゾン
濃度0.001%の溶存オゾン水に3分間浸漬された後
に、フッ酸濃度5%の希フッ酸水溶液に90秒浸漬され
ることにより、表面に残留した有機物等が除去され、最
後に純水で洗浄されて一連の処理を終了する。
【0277】なお、本実施形態においては、ポリッシン
グ装置がX線露光用マスクを処理可能な機構を有してい
ることが重要であり、研磨圧力や研磨定盤・台座の回転
数、終点検出の方法、研磨剤といったポリッシング条件
に関しては種々の他の方法を用いることも可能であり、
例えば研磨パッド上の研磨剤のpHや研磨パッドの温度
等をモニタして終点検出を行うことも可能である。研磨
剤に関しても、シリカ粒子に代えてアルミナ粒子,酸化
チタン粒子,酸化ジルコニウム粒子,酸化セリウム粒
子,炭化珪素粒子,ダイヤモンド粒子や、シリカ粒子を
含むこれらの粒子の混合物を用いることも可能である。
さらに、グリシン水溶液に代えて、他のアミノ酢酸やア
ミノ硫酸、或いはこれらの混合物を使用することも可能
であり、過酸化水素水に代えて硝酸,次亜塩素酸,オゾ
ン水,硝酸アンモニウム,塩化アンモニウム,クロム酸
等を用いることも可能である。また、ベンゾトリアゾー
ルの添加は必ずしも必要ではなく、添加する場合にも、
ポリッシングされる素材とキレート化合物や錯体化合物
を形成する材料であれば、ペンゾトリアゾールの誘導体
やチオ尿素,チオ尿素誘導体,ベンズイミダゾール,ト
リアゾール,エチレンジアミン,システィンやこれらを
含む混合物を用いることも可能である。
【0278】以上の工程により製作されたマスクを用い
て、SOR光源にミラーと真空隔壁Be膜を備えたビー
ムラインを用いた中心波長0.8nmの露光光を用い
て、Siウェハ上に塗布されたレジストに転写を行った
ところ、線幅70nmのパターンを形成することができ
た。
【0279】なお、デザインルールの微細化に伴い、所
望の吸収体アスペクト比が大きくなると、1回のリフロ
ー工程によってパターンの凹部を完全に埋め込むことが
困難になるが、その場合には、スパッタリングとリフロ
ーを複数回に分けて行うことにより埋め込みを行えばよ
い。具体的には、膜厚0.6μmのCu膜を一度に成膜
することに代えて、例えばRFスパッタリング装置を用
いてAr圧力3mTorrの条件で吸収体8となる膜厚0.
2μmのCu膜を成膜し、スパッタリングと同一真空中
で、550℃1分間の熱処理を施し、パターンの凹部に
凝集埋め込みを行う、という一連の工程を3回繰り返す
ことにより、埋め込みを行えばよい。また、この際に必
要であれば、各熱処理工程と次のスパッタリング工程の
間に、レジストエッチバック或いはポリッシングを行
い、比較的大きな面積を持つSiO 2 膜4パターン上の
不要なCuを予め除去しておくことも可能である。
【0280】以上の実施形態においては、Cuを吸収体
として用いたが、本発明はCuに限らずW,Ta,R
u,Re,Au,Os,Zn,Pb,Pt或いはこれら
を含む化合物等、他の吸収体材料を用いる場合にも適用
することが可能である。これらの材料には融点が高いた
め(リフロー工程の困難なものも含まれるが、リフロー
工程そのものは本発明に必須ではないので省略すること
も可能であり、その場合にも、レジストエッチバック工
程或いはポリッシング工程を行うことにより、所望のマ
スクを形成することが可能である。また、吸収体の成膜
方法に関しても、スパッタリングに限らず、単なる蒸着
や電解メッキ,無電解メッキ,熱CVD,プラズマCV
D等種々の方法を用いることが可能である。電解メッキ
を用いる場合には、反射防止膜兼エッチングストッパ1
03を導電性の物質、例えばCr,Ni等の金属薄膜や
ITO膜等に置き換えると好都合である。
【0281】本実施形態により、X線露光用マスクの吸
収体の微細加工を、吸収体を直接RIEにより高アスベ
クト比加工する工程を含まずに行うことが可能となるた
め、RIEの困難な材料を吸収体材料として容易に用い
ることが可能となり、またRIEの際に発生する残さに
起因するパターン精度劣化を防ぐことが可能となる。
【0282】(第14の実施形態)ここでは、等倍X線
露光用マスクの作成方法について具体的に説明する。図
31及び図32は、本発明の第14の実施形態で用いた
X線露光用マスクの製造工程を示す断面図である。
【0283】まず、はじめに型板300の製造工程につ
いて説明する。図31(a)に示すように、洗浄された
厚さ525μmの4インチSi(100)ウェハ301
に減圧CVD法を用いて、基板温度1025℃,圧力3
0Torrの条件で、10%水素希釈のシランガス150sc
cm、10%水素希釈のアセチレンガス65sccm、100
%塩化水素ガス150sccmをキャリアガスである水素1
0SLMと共に反応管内に導入し、X線透過性薄膜30
2となる膜厚2μmSiCを成膜した。
【0284】次いで、図31(b)に示すように、この
基板の表面にRFスパッタリング装置を用いて、Ar圧
力1mTorrの条件で、反射防止膜兼エッチングストッパ
303となる膜厚98nmのアルミナ膜を成膜した。さ
らにその上に、TEOSを主原料とするCVD法によ
り、膜厚800nmのパターニング層304となるSi
2 膜を形成し、成膜後にアニール処理を施すことによ
りSiO2 膜の応力をほぼ0MPaに調整した。
【0285】次いで、RIE装置を用いて、アルミニウ
ムをエッチングマスクとして、圧力10mTorr、RFパ
ワー200Wの条件でCF4 ガス25sccm、O2 ガス4
0sccmを供給し、図31(c)に示すように、型板裏面
の中心部の半径70mmの領域、転写基板裏面のSiC
膜302を除去し、Siウェハ301をエッチングする
際のマスクとなる開口領域305を形成した。
【0286】次いで、図31(d)に示すように、この
基板上に市販の電子ビーム用ポジ型レジストZEP52
0(粘度12cps)を回転数2000rpm,50秒
の条件で回転塗布し、ホットプレートを用いて、175
℃,2分間のベーク処理を行い、膜厚300nmの感光
膜306を形成した。そして、加速電圧75kVの電子
ビーム描画装置を用いてパターン描画を行った。所望の
描画精度を得るために、描画は4回の重ね書きによりパ
ターンを形成する多重描画を行い、基準照射量を96μ
C/cm2 として、照射量補正により近接効果補正を行
った。描画後、現像処理として市販の現像液ZEP−R
Dを用いて液温18℃,1分間の条件で現像を行い、引
き続きMIBKで1分間のリンスを行い現像液を除去し
た。
【0287】次いで、図31(e)に示すように、感光
膜306のパターン(レジストパターン)をマスクに、
CHF3 及びCOガスを用いて反応性イオンエッチング
によりSiO2 膜304の加工を行った。そして、残留
したレジストは、酸素プラズマ中で灰化処理して除去し
た後、硫酸と過酸化水素水の混合液で洗浄した。これに
より、型板300を形成した。
【0288】次に、型板300を押し付けられる(転
写)基板400の製造工程について説明する。まず、図
32(a)に示すように、型板製作工程と同様に、洗浄
された厚さ525μmの4インチSi(100)ウェハ
401の片側表面に減圧CVDを用いて、基板温度10
25℃,圧力30Torrの条件で、10%水素希釈のシラ
ンガス150sccm、10%水素希釈のアセチレンガス6
5sccm、100%塩化水素ガス50sccmをキャリアガス
である水素10SLMと共に反応管内に導入し、X線透
過性薄膜402となる膜厚2μmのSiCを成膜した。
続いて、RFスパッタリング装置を用いてAr圧力3m
Torrの条件の下で、X線吸収体403となる膜厚0.6
μmの銅(Cu)を成膜し、研磨により表面平坦化を行
った。
【0289】次いで、図32(b)に示すように、型板
300及び転写基板400の両基板を密着させ、プレス
装置により5〜30MPa程度の圧力を掛け、同時に赤
外線照射により550℃,1分間の熱処理を行った。
【0290】この処理により、図32(c)に示すよう
に、X線吸収体としてのCu金属層403はSiO2
304のパターン凹部に押し込まれ、さらにCu金属部
分に熱が加えられることで、パターン凹部にCu金属が
流れ込み凝集埋め込みが行われた。
【0291】次いで、図32(d)に示すように、型板
300のSiCの除去された開口領域305上のSi部
分と転写基板400のSiウェハ401部分を弗酸と硝
酸の1:1混合液によりエッチング除去した。最後に、
紫外線硬化型エポキシ樹脂接着剤を用いて外径125m
m、内径72mm、厚さ6.2mmのガラスリングをフ
レーム500として接合した。
【0292】以上の方法により、所望のX線露光用マス
クを作成することができるが、本方法により作成したマ
スクには以下のような利点があることが判明した。まず
第1に、従来のナノインプリント・リソグラフィの手法
では、型板を剥がすことを想定していたため、本手法の
プレスする際の高温加熱は、従来のナノインプリントで
は両板の密着性を高め剥離することが困難となる。これ
に対し本実施形態では、型板300のパターニング層3
04をそのまま残しX線露光用マスクの構成材料として
活用し、型板300を剥離しないため、プレスする際の
高温加熱が有効になることである。
【0293】そして、室温に比べ、高温において金属は
軟化するために、このときプレス装置により加圧しパタ
ーン凹部に金属を押し込むために必要とされる力は小さ
くすることができる。従来法では、高圧力でのプレスで
は型板及び転写基板に破損乃至は変形が起きる危険性が
あるため、室温で柔らかい金属材料に限られていたもの
が、本手法では加熱温度を適切な温度に設定することで
様々な金属材料に適応することが可能である。さらに、
加熱処理によりアスペクト比の高いパターン凹部へ金属
が流れ込み易くなり、凝集埋め込みが行い易くなるとい
う利点も併せ持っている。
【0294】また、吸収体の内部応力についても、成膜
後に加熱処理を行うことにより、凝集埋め込みを行う、
いわゆるリフローを引き起こさせているので、成膜時の
内部応力は一旦開放されるため、このリフロー工程のみ
に依存する。即ち、面内均一性を含めたリフロー工程の
温度制御さえ十分に管理されていれば、所望の内部応力
の吸収体を形成することが可能である。従って、従来法
の反応性イオンエッチングにより直接加工した吸収体パ
ターン形成において吸収体成膜時に必要とされる高精度
な条件に比べ、その応力制御は遙かに容易で、1MPa
程度の応力分布を得ることが可能になるという利点を持
つ。
【0295】以上の工程により製作されたマスクを用い
て、シンクロトロン光源にミラーと真空隔壁(Be膜)
を備えたビームラインを用い、中心波長0.8nmの露
光光を用いて、Siウェハ上に塗布されたレジストに転
写を行ったところ、線幅70nmのパターンを高精度に
形成することができた。
【0296】以上の実施形態においては、型板300と
転写基板400を密着させ、X線吸収体のパターンを形
成した後に型板300にガラスリングのフレーム500
を接着したが、型板300と転写基板400を密着させ
る前に、型板300にフレーム500を接着してもよ
い。この場合の製造工程を図33に示す。
【0297】まず、Siウェハ301にX線透過性薄膜
302となるSiCを成膜し、さらに反射防止膜兼エッ
チングストッパ303となるアルミナ膜、パターニング
層304となるSiO2 膜を成膜し、型板裏面のSiC
膜302を一部除去して開口領域305を形成するまで
は、前記図31(a)〜(c)に示した工程と同じであ
る。
【0298】次いで、図33(a)に示すように、型板
300の裏面に、紫外線硬化型エポキシ樹脂を用いて、
外径125mm,内径72mm,厚さ6.2mmのガラ
スリングのフレーム500を接着した。次いで、図33
(b)に示すように、SiCの除去された開口領域30
5に露出したSi部分を、弗酸と硝酸の1対1混合液を
用いて除去し、これによりX線透過薄膜(メンプレン)
を作成した。
【0299】次いで、図33(c)に示すように、メン
ブレン裏面に、ポリイミド樹脂形成用溶液をスピン塗布
し薄膜309を形成し、その後、300℃で加熱脱水し
て硬化させた。次いで、図33(d)に示すように、S
iO2 膜304上にレジスト306のパターンを形成
し、これをマスクにSiO2 膜304を選択エッチング
し、さらに残ったレジスト306を剥離した。
【0300】これ以降は、前記図32(a)〜(e)と
同様に、型板300及び転写基板400の両基板を密着
させ、プレス装置により5〜30MPa程度の圧力を掛
け、同時に赤外線照射により400℃,2分の熱処理を
行った。最後に、ポリイミド樹脂309をヒドラジンに
より、また転写基板400のSiウェハ401を弗酸と
硝酸の1:1混合液によりエッチング除去することで、
所望のX線露光用マスクが得られた。
【0301】以上の実施形態においては、CuをX線吸
収体403として用いたが、本発明はCuに限らず、C
o,Ni,Zn,Ga,Ge,Ta,Re,Os,A
u,Pt,Pb、或いはこれらを含む化合物(特に真鍮
CuZn)等、他の金属材料を用いる場合にも適用する
ことが可能である。これらの物質には、融点が高いため
加熱によるリフローが困難なものも含まれるが、リフロ
ー工程や加熱工程そのものは必須ではないので省略する
ことも可能である。また、真鍮等の柔らかい金属の場
合、加熱工程を比較的低温で行っても、プレス器により
加圧する力は小さくて済み、パターニング層の凹部への
埋め込みは行え、所望のパターンやマスク形成すること
が可能である。
【0302】また、本実施例ではSiO2 をパターニン
グ層304として用いたが、本発明はSiO2 に限ら
ず、Si3 4 ,SiC,ダイヤモンド,Si、TiO
2 ,Al2 3 ,ZrO2 ,SiON,SiOF,Si
OB,BN,TiN、或いはこれらを含む化合物等、他
の材料を用いる場合にも適用することが可能である。パ
ターニング層の成膜方法に関しても、CVD法に限ら
ず、単なる蒸着やスパッタリング等、種々の方法を用い
ることが可能である。
【0303】また、メンブレン裏面の薄膜形成及び硬化
に、ここでは熱硬化性樹脂であるポリイミド樹脂を用い
たが、その他の熱硬化化性樹脂材料やポリ酢酸ビニル,
ポリビニルアルコール,ポリビニルホルマール,ポリビ
ニルアセトアセタール,ポリビニルブチラール等の溶剤
可溶性の樹脂を注入し、硬化させ、水或いはアルコール
で溶解してもよい。その他、ポリイミド樹脂を注入、硬
化させる方法の代わりに、X線露光用マスクのような自
立薄膜で構成される物体用のポリッシング装置の場合と
同様に、メンブレン裏面に流体を満たし、この流体の圧
力を制御する方法を用いることも可能である。
【0304】金属の成膜方法に関しても、スパッタリン
グに限らず、単なる蒸着や電解メッキ、無電解メッキ、
熱CVD,プラズマCVD等、種々の方法を用いること
が可能である。電解メッキを用いる場合には、反射防止
膜兼エッチングストッパ303を導電性の物質、例えば
Cr,Ni等の金属薄膜やITO膜等に置き換えると好
都合である。また、ここでは加熱工程においては赤外線
加熱により行ったが、その他のホットプレート等の加熱
方法においても可能である。
【0305】また、実施形態ではパターニング層304
として用いたSiO2 はX線透過性の高い物質であるた
め、パターニング層をそのまま残しX線露光用マスクと
して用いることができたが、各種露光マスクにおいて、
このパターニング層が不要である場合、パターニング層
を吸収体パターン形成後にエッチングにより除去する工
程を加えてもよい。また、ここで転写基板400のX線
透過性薄膜402もX線露光用マスクにおいて必要では
ないので、転写基板400のSiウェハ401のエッチ
ング除去後に除去する工程を加えてもよい。
【0306】本実施形態では、メンブレン膜上に吸収体
金属パターンを形成する手法について説明したが、本手
法では加熱温度を適切な温度に設定することで様々な金
属材料に適応でき、またアスペクト比の高い金属パター
ンの形成が容易であるため、X線露光用マスクの作成に
限らず、各種リソグラフィやゾーンプレート用の露光マ
スクにおいても本手法を適用することが可能である。特
に、メンブレン上の微細パターン形成が必要とされる各
種露光マスクの作成においては好適な手法である。ま
た、各種露光マスクにおいて、転写露光装置上で露光マ
スクと被加工基板との間に対するアライメント用のマー
クとして、ゾーンプレートを用いる場合においても、ア
ライメント用ゾーンプレート領域を、予め透過膜にパタ
ーン形成する際に露光パターン領域と一括して作成で
き、マスク製作のための工程数及び費用を低減できると
いう利点を持つ。
【0307】(第15の実施形態)第14の実施形態で
は、メンブレン膜上にX線吸収体としてCu、透過膜と
してSiO2 を用いたX線露光用マスクの作成方法につ
いて説明した。また、それより前の実施形態では、シン
クロトロン放射光として、露光光波長域(光強度として
該X線露光用マスクに入射する最大光強度の波長におけ
る光強度の1/10以上の強度を持つ波長域を露光波長
域とする)を0.65nmから1.02nmの間に有す
る露光光源を用いたX線露光で、このCu−SiO2
線露光用マスクにおいて、SiO2 透過膜によりCu吸
収体の露光光波長域の波長に対する位相シフト量の変化
が小さくなり、位相シフト効果による転写パターンの解
像度の向上がはかれることを既に説明している。
【0308】本実施形態では、透過膜をSiONとした
ときにも、Cu吸収体の露光波長域の波長に対する位相
シフト量の変化が小さくなり、位相シフト効果による転
写パターンの解像度の向上がはかれ、またCuの透過膜
への拡散が抑制され、より高解像度のパターン転写が可
能になることが示されたので以下に説明する。また、X
線吸収体材料としてCuZn合金を用いたとき、位相特
性が更に改善され、第14の実施形態で示した製造方法
への適用が容易であることが示されたので、これらの発
明についても以下に同様に説明する。
【0309】X線吸収体の露光光の透過特性に対し、高
い像コントラストを生むためには、メンブレン膜上の透
過膜材料としては、露光光に対して高い透過特性を持つ
ことが望まれる。図34はSiON膜及びSiO2 膜の
何れも膜厚1μmにおける波長0.5〜1.0μmのX
線に対する透過特性を示す。SiON膜の透過特性はS
iO2 膜とほぼ同じ特性であり、波長0.5〜1.0μ
mのX線に対して約60から80%の高い透過率を持
ち、波長0.5〜1.0μmのX線を用いたX線露光に
おける透過膜材料として好適な材料であることが判る。
【0310】次に、図35(a)(b)に、2つのX線
露光用マスクにおける位相シフト量及び露光光強度の波
長依存性を示す。図35(a)は、SiCメンブレン膜
(膜厚2μm)上にCu吸収体パターンのみが形成され
たX線露光用マスクにおいて、SiCメンブレンの透過
後と、SiCメンブレン−Cu吸収体(それぞれの膜厚
は2μm,0.61μm)透過後と、のそれぞれの露光
光強度及び位相シフト量の特性である。図35(b)
は、SiCメンブレン膜(膜厚2μm)上にCu吸収体
パターン及びSiON透過膜パターンの形成されたX線
露光用マスクにおいて、SiCメンブレン及びSiON
透過膜(それぞれ膜厚は2μm,0.90μm)の透過
後と、SiCメンブレン−Cu吸収体(それぞれの膜厚
は2μm,0.90μm)の透過後と、のそれぞれの露
光光強度及び位相シフト量の特性である。
【0311】ここで用いているX線露光ビームは、シン
クロトロン放射光で、リング蓄積電子エネルギー600
MeV、偏向磁場3T、最大蓄積電流500mA、最大
露光面積30mm角、最大露光強度50mW/cm2
ビーム平行度2mrad以下である。放射光の取り出し
窓としては平均膜厚25μmのベリリウム(Be)窓、
平均膜厚1.5μmの窒化珪素(Si3 4 )窓、平均
膜厚1.0μmのダイヤモンド窓を、集光及び揺動ミラ
ーには斜入射型の白金(Pt)ミラーを用いたときの強
度スペクトルであり、X線露光用マスクに入射する直前
の露光波長域(光強度として該X線露光用マスクに入射
する最大光強度の波長における光強度の1/10以上の
強度を持つ波長域を露光波長域とする)は0.65〜
1.02nmの放射光である。
【0312】ここで、吸収体部分と吸収体以外の部分を
透過後のそれぞれの露光光の位相シフト量差の露光波長
域における量大値と最小値を足して、その値を2で割っ
た値がπとなるように吸収体膜厚を設定した(所謂、π
位相シフト型マスクである)。このときCu吸収体の膜
厚は612.4nmで、そのとき最大及び最小位相シフ
ト量差は、それぞれ0.875π,1.125πに相当
し、このとき位相シフト量は±12.5%以内に制御さ
れる。一方、Cu−SiON吸収体の膜厚は、903.
5nmで、そのとき最大及び最小位相シフト量差は、そ
れぞれ0.910π,1.09πに相当し、このとき位
相シフト量は±9.0%以内に制御され、Cuのみの場
合に比べ位相シフト量が露光波長域全域にわたり一定と
なる(図36参照)。
【0313】また、吸収体部分を透過した露光光の高強
度領域として、その最大光強度の1/2以上の強度を持
つ波長域と定めたときにも、吸収体部分と吸収体以外の
部分を透過した露光光のそれぞれの位相シフト量差のこ
の波長域における最大値と最小値は、Cu吸収体の場合
は0.90π,1.01π、Cu−SiON吸収体の場
合は0.96π,101πとなり、Cu−SiON吸収
体を用いたX線露光用マスクでは、その露光波長域全域
にわたり位相シフト量を制御できることが示された。位
相シフト量が波長域内で大きく変化する露光マスクでは
位相シフト効果を利用した転写パターンの解像度の向上
が十分に発揮できないため、広帯域のシンクロトロン放
射光を用いたX線露光において、本実施形態によるSi
ON透過膜を用いた位相シフト制御法は転写パターンの
傍像度の向上をはかる上で有効である。
【0314】次に、このCu吸収体とSiON透過膜か
らなるX線露光用マスクの製造工程について説明する。
なお、製造工程図は、前記図27及び図28と実質的に
同じなのでこれらを参照するが、本実施形態では図中1
04のSiO2 がSiONに代わっている。
【0315】まず、図27(a)に示すように、洗浄さ
れた厚さ525μmの4インチSi(100)ウェハ1
01に減圧CVD法を用いて、基板温度1025℃,圧
力30Torrの条件で、10%水素希釈のシランガス15
0sccm、10%水素希釈のアセチレンガス65sccm、1
00%塩化水素ガス150sccmをキャリアガスである水
素10SLMと共に反応管内に導入し、X線透過性薄膜
102となる膜厚2μmのSiCを成膜した。続いて、
この基板の表面にRFスパッタリング装置を用いて、A
r圧力1mTorrの条件で、反射防止膜兼エッチングスト
ッパ103となる膜厚98nmのアルミナ膜を成膜し
た。そして、その上にSiH4 ,NH3 ,N2 O,ガス
を原料とするPECVD(Plasma Enhanced Chemical V
apor Deposition)法により、膜厚900nmのパター
ニング層104となるSiON膜を形成し、成膜後にア
ニール処理を施すことによりSiON膜の応力をほぼ0
MPaに調整した。
【0316】次いで、図27(b)に示すように、RI
E装置を用い、アルミニウムをエッチングマスクとし
て、圧力10mTorr,RFパワー200Wの条件で、C
4 ガ25sccm,O2 ガス40sccmを供給し、裏面の中
心部の半径70mmの領域を除去し、Siウェハ101
をエッチングする際のマスクとなる開口領域105を形
成した。次いで、図27(c)に示すように、紫外線硬
化型エポキシ樹脂を用いて、外径125mm,内径72
mm,厚さ6.2mmのガラスリングをフレーム106
として接合した。さらにバックエッチング装置により、
このSiCの除去された部分に弗酸と硝酸の1対1混合
液を滴下し、Siのエッチング除去を行った。
【0317】次いで、図27(d)に示すように、基板
上に市販の電子ビーム用ポジ型レジストZEP520
(粘度120cps)を回転数2000rpm,50秒
の条件で回転塗布し、ホットプレートを用いて175
℃,2分間のベーク処理を行い、膜厚300nmの感光
膜107を形成した。そして、加速電圧75kVの電子
ビーム描画装置を用いてパターン描画を行った。所望の
描画粘度を得るために、描画は4回の重ね書きによりパ
ターンを形成する多量描画を行い、基準照射量を96μ
C/cm2 として、照射量補正により近接効果補正を行
った。
【0318】描画後、現像処理として市販の現像液ZE
P−RDを用いて液温18℃,1分間の条件で現像を行
い、引き続きMIBKで1分間のリンスを行い現像液を
除去した。そして、形成されたレジストパターンをマス
クに、CHF3 及びCOガスを用いて反応性イオンエッ
チングによりSiON膜104の加工を行った。残留し
たレジストは、酸素プラズマ中で灰化処理して除去した
後、硫酸と過酸化水素水の混合液で洗浄した。
【0319】次いで、図28(a)に示すように、RF
スパッタリング装置を用いてAr圧力3mTorrの条件の
下で、X線吸収体108となる膜厚0.7μmの銅(C
u)を成膜した。続いて、図28(b)に示すように、
スパッタリングと同一真空中で500℃,5分間の熱処
理を施し、パターンの凹部にCuの凝集埋め込みを行っ
た。
【0320】最後に余分なCuの除去は、レジストエッ
チバックと呼ばれる以下の方法により行った。まず、マ
スク表面に、先程のレジスト塗布に用いた装置と同一の
装置で、市販の電子ビーム用レジストZEP520(粘
度12cps)を回転数2000rpm,50秒の条件
で回転塗布し、ホットプレートを用いて175℃,2分
間のべ一ク処理を行い、図28(c)に示すように、膜
厚300nmのレジスト膜109を形成した。このと
き、回転塗布の特性から、表面はほぼ平坦な塗布形状と
なる。続いて、図28(d)に示すように、HBrガス
を用いた反応性イオンエッチングにより、レジスト膜1
09とCuのエッチング速度がほぼ等しくなる条件で、
マスク表面をSiON表面が露出するまでエッチングし
た。
【0321】以上の工程により製作されたマスクを用い
て、シンクロトロン放射光源にミラーと真空隔壁Be膜
を備えたビームラインを用い、中心波長0.8nmの露
光光を用いて、Siウェハ上に塗布きれたレジストに転
写を行ったところ、線幅70nmのパターンを精度良く
形成することができた。
【0322】以上の方法により、所望の高精度のマスク
を作成することができることが示された。そして、本手
法により作成したマスクには以下のような利点があるこ
とが判明した。まず、SiON膜を用いることにより応
力制御が容易になることが挙げられる。SiONはその
膜の成膜時の応力制御が容易であり、また温度500
℃,1時間の熱処理においてもCuのSiON嘆中への
熱拡散が生じないことが、オージェ電子分光法及びラザ
フォード後方散乱分光法により示され、透過膜パターン
層として好適な材料であることが示された。
【0323】従って、アスペクト比の高い凹部への吸収
体の埋め込みにおいても、高温で熱処理を行えるため、
熱散及びボイドの無い埋め込みが実現でき、高精度な吸
収体パターンの形成が可能になる。さらに、第14及び
第15の実施形態において作成されるメンブレン膜上に
X線吸収体及び透過膜のパターンが形成されているX線
露光用マスクは、吸収体及び透過膜の膜厚は等しく平坦
化されているため、吸収体パターンのみが形成されてい
るX線露光用マスクにおける微細パターン凹部に付着し
たごみ等の異物が存在せず、ごみ等の異物がその表面に
付着しても、表面を洗浄するだけで除去できるという利
点を持つことが示された。
【0324】ここでの露光マスクの洗浄処理は、はじめ
に純水で洗浄し、次にオゾン濃度0.001%の溶存オ
ゾン水に3分間浸漬した後、弗酸濃度5%の弗酸水溶液
に90秒浸漬することで、表面の有機物を除去し、最後
に純水で洗浄して、一連の処理を終了する。X線露光用
マスクの異物による汚染は、転写露光において、重要な
問題である。マスクに異物が付着した場合は、それがウ
ェハに転写され、パターンの欠陥となるため、マスクの
異物の付着は極力避けなければならない。特にX線を用
いた露光では、X線物質の透過率が一般に極めて低く、
ごく小さな異物もX線を透過せず欠陥の原因となる。
【0325】可視,紫外光を用いた露光方法では、しば
しばマスクの異物付着を防止するため、ニトロセルロー
ス,パリレン等の有機薄膜で形成されたペリクルが取り
付けられているが、X線露光においては、ペリクルX線
の吸収が大きく、露光光の強度を大きく減衰させること
や耐熱性が低く、それに伴い耐照射性が低い等の問題が
あり、一般にペリクルは使用されていない。従って、本
実施形態で作成されるX線露光用マスクは、簡易な洗浄
により異物の除去が容易に行えるという利点を持つた
め、マスクの洗浄及び異物除去の工程を低コストでき、
廉価な半導体装置或いは光学素子を供給することが可能
となる。
【0326】なお、本実施形態における、Cu吸収体の
代わりに、CuとZnの合金、例えば真鍮を吸収体とし
て用いることも可能である。図36及び下記の(表2
9)に示すように、Cu7 Zn3 をSiO2 透過膜、或
いはSiON透過膜の組み合わせたX線露光用マスクに
おいても、波長0.65〜1.02nmの露光光に対し
て位相シフト量の制御性に優れ(露光波長域内の分散量
±8%以内)、またマスクコントラストも、膜厚400
nmで3.58と十分な遮蔽性を持つ。従って、Cu7
3 をSiO2 透過膜或いはSiON透過膜パターンヘ
の埋め込み構造を持つX線露光用マスクは、CuのSi
ON透過膜パターンヘの埋め込み構造のX線露光用マス
クと同様に、これらを使用することにより高解像度の露
光転写を期待できる。
【0327】
【表29】
【0328】また、CuとZnの合金は柔らかく、第1
4の実施形態で説明したナノインプリント・リソグラフ
ィ法において、加圧する力を小さく、また融点が低いた
め熱処理温度も低く抑えられるため、Cuの埋め込みに
比べその作成が容易に行えるという利点を持つ。また、
ここでは、平坦部に残留した余分なCuをレジストエッ
チング法により除去したが、X線露光用マスクのような
自立薄膜で形成される物体用のポリッシング装置を用い
ることにより、その除去は容易に行うことができる。
【0329】(第16の実施形態)次に、上記方法によ
り製作されたX線露光用マスクを用いた微小デバイスの
生産方法について説明する。ここでいう微小デバイスと
は、集積回路,ULSI等の半導体チップ、更には液晶
デバイス,マイクロマシン,薄膜磁気ヘッド等が挙げら
れる。以下は、半導体デバイスの例を示す。
【0330】図37は、半導体デバイス生産の処理フロ
ーを示す図である。1−1(回路設計)では、半導体デ
バイスの回路設計をCAD等を用いて行う。1−2(マ
スク製作)では、設計した回路パターンを形成したマス
クを製作する。一方、1−3(ウェハ製造)では、シリ
コン等の材料を用いてウェハを製造する。
【0331】1−4(ウエハプロセス)では、先の実施
形態等で用意したX線露光用マスクとウェハを用いて、
リソグラフィ技術(前処理,レジスト塗布,プリベー
ク,露光,ポストイクスポージャベーク(PEB),現
像・リンス,ポストベーク,エッチング,イオン注入,
レジスト剥離,検査等の工程からなる)によりウェハ上
に実際の回路パターンを形成する。次の1−5(組み立
て)は、後工程と、1−4によって作成されたウェハを
用いて半導体チップ化する工程であり、アッセンブリ工
程(ダイシング,ボンディング)、パッケージング工程
(チップ工程)等の工程を含む。1−6(検査・修理)
では、1−5で作成された半導体デバイスの動作確認テ
スト、耐久性確認テスト等の検査及び修理を行う。こう
した工程を経て半導体デバイスが完成し、これが出荷さ
れる。
【0332】本実施形態の生産方法によれば、低コスト
のX線露光用マスクを使用することにより、転写露光工
程を低コスト化でき、廉価な半導体装置或いは光学素子
を供給することが可能となる。
【0333】
【発明の効果】以上詳述したように本発明によれば、マ
スク部に入射する光の最大光強度を波長0.6〜1nm
に持つシンクロトロン放射光を露光光源として用いたX
線露光において、この露光波長域に対して吸収が大きい
X線吸収体材料を用いることによりX線吸収体の薄膜化
が可能であり、また位相シフト量が制御された材料を用
いることにより転写パターンの解像性の向上が可能であ
るという、顕著な特徴を持つX線露光用マスクを実現す
ることができる。
【0334】また、X線露光用マスクの製造に際して、
ナノインプリント・リソグラフィ技術を採用し、剥離工
程を省き加熱工程を加えたことにより、多くの金属材料
においても高アスペクト比の構造を持つ微細パターンの
形成が可能となり、高精度な各種露光用マスクの製造を
簡便且つ低コストで行うことが可能となる。さらに、低
コストのX線露光用マスクを使用することにより、転写
露光工程を低コスト化でき、廉価な半導体装置或いは光
学素子を供給することが可能となる。
【図面の簡単な説明】
【図1】本発明のX線露光用マスクの構造を示す断面
図。
【図2】本発明のX線露光用マスクの構造を示す断面図
(Da=Dt)。
【図3】本発明のX線露光用マスクの構造を示す断面図
(Da<Dt)。
【図4】本発明のX線露光用マスクの構造を示す断面図
(Da>Dt)。
【図5】シンクロトロン放射光の強度分布を示す図。
【図6】従来のX線露光用マスクの構造及び露光方法を
示す図。
【図7】従来のX線露光用マスクの構造を示す図。
【図8】従来のX線露光用マスクの構造を示す図。
【図9】Cu,Gd,Ta,W,Auの吸収特性を示す
図。
【図10】Gdx Auy の吸収特性及びマスクコントラ
ストを示す図。
【図11】Ni,Cu,Ta,W,Au,Cu−SiO
2 の位相特性を示す図。
【図12】グループI(Co,Ni,Cu,Zn,G
a)の位相特性を示す図。
【図13】グループII(Tc,Rh,Pd,Ag,T
e)の位相特性を示す図。
【図14】グループIII (La,Ce,Nd,Sm,E
u)の位相特性を示す図。
【図15】グループIV(Ir,Pt,Au,Pb,F
r)の位相特性を示す図。
【図16】Co,Ni,Cu,Znの位相特性を示す
図。
【図17】Smx Auy の位相特性及びマスクコントラ
ストを示す図。
【図18】Si3 4 ,SiC,Si,ダイヤモンド膜
の透過特性を示す図。
【図19】Mg,Al,Si,MgO,Al2 3 ,S
iO2 膜の透過特性を示す図。
【図20】Ca,Sc,Ti,CaO,Sc2 3 ,T
iO2 膜の透過特性を示す図。
【図21】Sr,SrO,SrF2 膜の透過特性を示す
図。
【図22】Y,Zr,Y2 3 ,ZrO2 膜の透過特性
を示す図。
【図23】Au吸収体と各種透過膜埋め込み構造におけ
る位相特性を示す図。
【図24】Cu吸収体と各種透過膜埋め込み構造におけ
る位相特性を示す図。
【図25】Ni吸収体と各種透過膜埋め込み構造におけ
る位相特性を示す図。
【図26】第11の実施形態に係わるX線露光装置の構
成を示す図。
【図27】第12の実施形態に係わるX線露光用マスク
の製造工程を示す断面図。
【図28】第12の実施形態に係わるX線露光用マスク
の製造工程を示す断面図。
【図29】第12の実施形態による効果を説明するため
の図。
【図30】第13の実施形態に係わるポリッシング装置
を説明するための断面図。
【図31】第14の実施形態で用いたX線露光用マスク
の製造工程を示す断面図。
【図32】第14の実施形態で用いたX線露光用マスク
の製造工程を示す断面図。
【図33】第14の実施形態の変形例を示す工程断面
図。
【図34】SiON膜及びSiO2 膜におけるX線透過
特性を示す図。
【図35】2つのX線露光用マスクにおける位相シフト
量及び露光光強度の波長依存性を示す図。
【図36】Cu及びCuZn吸収体、SiON及びSi
2 透過膜からなるX線露光用マスクの位相シフト量の
波長依存性を示す図。
【図37】第16の実施形態に係わる半導体デバイス生
産の処理フローを示す図。
【符号の説明】
1…X線露光用マスク 2…レジスト 3…基板 4…X線(シンクロトロン放射光) 5…本発明に係わる吸収体材料からなるパターン 5’…従来例における吸収体材料 6…メンブレン(支持膜) 7…支持枠 8…本発明に係わる透過膜材料からなるパターン 8’…本発明に係わる第1の透過膜 8”…本発明に係わる第2の透過膜 10…樹脂又は二酸化珪素パターン 14…シンクロトロン放射光源 22…集光ミラー 23…揺動ミラー 24…ダイヤモンド窓 25…ベリリウム窓 26…窒化珪素窓 27…X線露光用マスク 28…ステッパ 29…ウェハ 101…成膜基板(Siウェハ) 102…X線透過性薄膜(SiC) 103…反射防止膜兼エッチングストッパ(Al
2 3 ) 104…パターニング層(SiO2 ) 105…開口領域 106…フレーム 107…レジスト(感光膜) 108…吸収体(Cu) 109…レジスト 110…開口部 211…研磨定盤 212…研磨パッド 213…研磨剤 214…研磨剤タンク 215…供給量制御機構 216…研磨剤供給配管 217…マスク 218…Oリング 219…台座 220…クランプ 221…圧力調整流体(純水) 222…流入管 223…流出管 224…圧力計 225a,b…流量調整機構 226…恒温装置 227…センサ 228…制御計算機 229…体積変形可能な機構
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平5−251312(JP,A) 特開 平9−61988(JP,A) 特開 平9−190963(JP,A) 特開 平5−13309(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/027 G03F 1/16 G03F 7/20

Claims (10)

    (57)【特許請求の範囲】
  1. 【請求項1】メンブレン膜上にX線吸収体からなるパタ
    ーンが形成されたX線マスク部と、このX線マスク部を
    支持する支持体とを備えたX線露光用マスクにおいて、 前記X線マスク部に入射する光の最大光強度を波長0.
    6〜1nmに持つシンクロトロン放射光を露光光源とし
    て用い、前記X線吸収体材料は、密度/原子量が0.0
    85[g/cm3 ]以上であり、且つL殻吸収端を波長
    0.75〜1.6nmに持つ元素、又は密度/原子量が
    0.04[g/cm3 ]以上であり、且つM殻吸収端を波
    長0.75〜1.6nmに持つ元素を含むことを特徴と
    するX線露光用マスク。
  2. 【請求項2】前記X線吸収体材料は、Co,Ni,C
    u,Zn,Ga,La,Ce,Pr,Nd,Pm,S
    m,Eu,Gd,Tb,Dy,Ho,Er,Tm,Yb
    の何れかの少なくとも1つの元素を含むことを特徴とす
    る請求項1記載のX線露光用マスク。
  3. 【請求項3】メンブレン膜上にX線吸収体からなるパタ
    ーンが形成されたX線マスク部と、このX線マスク部を
    支持する支持体とを備えたX線露光用マスクにおいて、 前記X線マスク部に入射する光の最大光強度を0.6〜
    1nmに持つシンクロトロン放射光を露光光源として用
    い、前記X線吸収体は、L殻吸収端或いはM殻吸収端を
    波長0.75〜1.6nmに持つ単体元素の何れかから
    なる第1の材料と、M殻吸収端を波長0.5〜0.75
    nmに持つ単体元素の何れかからなる第2の材料との、
    合金又は積層膜であることを特徴とするX線露光用マス
    ク。
  4. 【請求項4】メンブレン膜上にX線吸収体からなるパタ
    ーンが形成されたX線マスク部と、このX線マスク部を
    支持する支持体とを備えたX線露光用マスクにおいて、前記X線マスク部に入射する最大光強度の波長における
    光強度の1/10以上の強度を持つ波長域を露光光源で
    あるシンクロトロン放射光の露光波長域とするとき、 前記X線吸収体として、全てのL殻及びM殻吸収端が、
    前記シンクロトロン放射光の露光波長域の最短波長以
    下、或いは露光波長の最長波長以上の領域である元素を
    主成分とする材料を用いることを特徴とするX線露光用
    マスク。
  5. 【請求項5】前記X線吸収体材料は、Ti,V,Cr,
    Mn,Fe,Co,Ni,Cu,Zn,Nb,Mo,T
    c,Ru,Rh,Pd,Ag,La,Ce,Pr,N
    d,Pm,Sm,Eu,Gdの何れかの少なくとも1つ
    の元素を含むことを特徴とする請求項4記載のX線露光
    用マスク。
  6. 【請求項6】メンブレン膜上にX線吸収体からなるパタ
    ーンが形成されたX線マスク部と、このX線マスク部を
    支持する支持体とを備えたX線露光用マスクにおいて、 前記X線マスク部に入射する光の最大光強度波長を0.
    6〜1nmに持つシンクロトロン放射光を露光光源とし
    て用い、前記X線吸収体として、その全てのL殻及びM
    殻吸収端が0.65nm以下、或いは1.02nm以上
    の領域である元素を主成分とする材料を用いたことを特
    徴とするX線露光用マスク。
  7. 【請求項7】メンブレン膜上にX線吸収体からなるパタ
    ーンが形成されたX線マスク部と、このX線マスク部を
    支持する支持体とを備えたX線露光用マスクにおいて、前記X線マスク部に入射する最大光強度の波長における
    光強度の1/10以上の強度を持つ波長域を露光光源で
    あるシンクロトロン放射光の露光波長域とするとき、 前記X線吸収体として、全てのL殻及びM殻吸収端が、
    前記シンクロトロン放射光の露光波長域の最短波長以下
    或いは露光波長の最長波長以上の領域であり、且つ何れ
    か1つの吸収端が露光波長域の最短波長から最短波長よ
    り0.4nm短い波長までの波長域にある第1の材料
    と、全てのL殻及びM殻吸収端が、露光光源であるシン
    クロトロン放射光の露光波長域の最短波長以下或いは露
    光波長の最長波長以上の領域であり、且つ何れか1つの
    吸収端が露光波長域の最長波長から最長波長より0.6
    nm長い波長までの波長域にある第2の材料とを組み合
    わせた合金又は積層膜を用いることを特徴とするX線露
    光用マスク。
  8. 【請求項8】メンブレン膜上にX線吸収体からなるパタ
    ーンが形成されたX線マスク部と、このX線マスク部を
    支持する支持体とを備えたX線露光用マスクにおいて、前記X線マスク部に入射する最大光強度の波長における
    光強度の1/10以上の強度を持つ波長域を露光波長域
    とし、該 露光波長域を0.65nmから1.02nmの
    間に有するシンクロトロン放射光を露光光源として用
    い、 前記X線吸収体として、全てのL殻及びM殻吸収端が、
    前記露光波長域の最短波長以下或いは最長波長以上の領
    域にあり、且つ何れか1つの吸収端が前記露光波長域の
    最短波長から最短波長より0.4nm短い波長までの波
    長域にある第1の材料と、全てのL殻及びM殻吸収端
    が、前記露光波長の最短波長以下或いは最長波長以上の
    領域にあり、且つ何れか1つの吸収端が露光波長域の最
    長波長から最長波長より0.6nm長い波長までの波長
    域に有する第2の材料とを組み合わせた合金又は積層膜
    を用いることを特徴とするX線露光用マスク。
  9. 【請求項9】請求項1〜のいずれかに記載のX線露光
    用マスクと、このマスクに対し最大光強度を波長0.6
    〜1nmに持つシンクロトロン放射光を照射するための
    X線源と、前記マスクを透過したX線をウェハ上に投影
    露光する手段とを具備してなることを特徴とするパター
    ン露光装置。
  10. 【請求項10】請求項1〜のいずれかに記載のX線露
    光用マスクを用い、さらに前記X線マスク部に入射する
    光の最大光強度を波長0.6〜1nmに持つシンクロト
    ロン放射光を露光光源に用いて、前記マスクに形成され
    たパターンをウェハ上に露光転写することを特徴とする
    パターン露光方法。
JP17699199A 1998-06-23 1999-06-23 X線露光用マスク Expired - Fee Related JP3363110B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP17699199A JP3363110B2 (ja) 1998-06-23 1999-06-23 X線露光用マスク

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10-176449 1998-06-23
JP17644998 1998-06-23
JP17699199A JP3363110B2 (ja) 1998-06-23 1999-06-23 X線露光用マスク

Publications (2)

Publication Number Publication Date
JP2000156343A JP2000156343A (ja) 2000-06-06
JP3363110B2 true JP3363110B2 (ja) 2003-01-08

Family

ID=26497367

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17699199A Expired - Fee Related JP3363110B2 (ja) 1998-06-23 1999-06-23 X線露光用マスク

Country Status (1)

Country Link
JP (1) JP3363110B2 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002093684A (ja) * 2000-09-18 2002-03-29 Canon Inc X線露光装置、x線露光方法、半導体製造装置および微細構造体
JP2006173142A (ja) * 2000-12-06 2006-06-29 Tokyo Electron Ltd ステンシルマスクとその製造方法
JP2004273794A (ja) * 2003-03-10 2004-09-30 Mitsubishi Electric Corp X線マスクの製造方法およびそれにより製造されたx線マスクを用いた半導体装置の製造方法
CN108699687B (zh) 2016-02-19 2022-03-01 爱沃特株式会社 化合物半导体基板、表膜、和化合物半导体基板的制造方法
CN112859539B (zh) * 2021-01-21 2022-11-11 上海应用技术大学 一种x射线曝光装置

Also Published As

Publication number Publication date
JP2000156343A (ja) 2000-06-06

Similar Documents

Publication Publication Date Title
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
TWI654477B (zh) 極紫外線光罩基底系統以及其之生產系統
JP6889792B2 (ja) 紫外線リソグラフィ用ガラスセラミックス及びその製造方法
US6096661A (en) Method for depositing silicon dioxide using low temperatures
US9207529B2 (en) Reflective mask blank for EUV lithography, and process for its production
TWI579897B (zh) 用於化學拋光與平坦化之方法
TWI289331B (en) Fabrication method of semiconductor integrated circuit device and method for making photomask
US8815475B2 (en) Reticle carrier
JP3363110B2 (ja) X線露光用マスク
US6366639B1 (en) X-ray mask, method of manufacturing the same, and X-ray exposure method
US20060141370A1 (en) Photomasks and methods of manufacturing the same
JP5187060B2 (ja) Euvリソグラフィ用反射型マスクの製造方法
TW479267B (en) Exposure mask, exposure mask manufacturing method, and semiconductor device manufacturing method using exposure mask
US7049035B2 (en) Method for controlling linewidth in advanced lithography masks using electrochemistry
JP2022505688A (ja) 裏側コーティングを有する極紫外線マスク
KR102520797B1 (ko) 반사형 포토마스크 및 그 제조 방법
JP2005099571A (ja) 多層膜反射鏡、反射多層膜の成膜方法、成膜装置及び露光装置
JP2001028330A (ja) 露光マスク、露光方法、及び露光マスクの製造方法
JPH1050578A (ja) X線リソグラフィー用マスク構造体、その製造方法および構造体を用いた半導体デバイス
JP2003272994A (ja) X線露光方法およびこのx線露光方法で製造された半導体装置、ならびに、x線マスク、x線露光装置およびレジスト材料
JPH0772299A (ja) X線用ミラーおよびこれを用いた露光装置などの光学装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081025

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081025

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091025

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees