TWI579897B - 用於化學拋光與平坦化之方法 - Google Patents

用於化學拋光與平坦化之方法 Download PDF

Info

Publication number
TWI579897B
TWI579897B TW103138747A TW103138747A TWI579897B TW I579897 B TWI579897 B TW I579897B TW 103138747 A TW103138747 A TW 103138747A TW 103138747 A TW103138747 A TW 103138747A TW I579897 B TWI579897 B TW I579897B
Authority
TW
Taiwan
Prior art keywords
planarizing
substrate
solubility
film
micro
Prior art date
Application number
TW103138747A
Other languages
English (en)
Other versions
TW201541502A (zh
Inventor
安東J 德維利耶
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201541502A publication Critical patent/TW201541502A/zh
Application granted granted Critical
Publication of TWI579897B publication Critical patent/TWI579897B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Micromachines (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials For Photolithography (AREA)

Description

用於化學拋光與平坦化之方法
本發明係關於包含積體電路微製程處理之微製程處理。
本發明係主張於2013年11月8日提出申請之美國臨時專利申請案號第61/901,768、其發明名稱為「Method for Chemical Polishing and Planarization」之優先權,在此係將其整體內容收錄於此以供參照。
本發明係關於包含積體電路微製程處理之微製程處理。半導體製造包含微影技術處理。作為產生微晶片之處理步驟,某些微影處理包含使用底部抗反射包覆材料(BARC)來包覆晶圓,接著再塗覆以光阻,然後將該晶圓暴露至一光圖案。光微影處理典型上需要平坦表面以沉積用來圖案化晶圓之各種薄膜及光阻。取決於給定之沉積處理,薄膜典型上會被指定具有特定高度,並被平坦化至特定規格之內。
平坦化通常利用化學機械拋光法/平坦化(CMP)來執行。CMP為一種使用腐蝕性化學品以及拋光墊來進行平坦化晶圓表面的製程。CMP可平坦化在多階結構中之絕緣體及導體。此平坦化係用以堆疊更多電子在晶圓的另一層上,或平坦化該晶圓以進行光微影圖案化。藉由將光阻設定於一已知高度,CMP亦可用來精細調整蝕刻曝光製程以最佳化曝光區域。
BARC為放置於基板及光阻層之間的一薄膜,以在曝光期間吸收剩餘的光線,從而預防曝光期間反射光線產生之粗糙邊緣。BARC亦可用於多階層間的曝光,以保護之前已曝光的層不會再度曝光。
雖然化學機械平坦化可提供基板上之平坦表面,使用CMP仍有其限制及缺點。CMP典型上係藉由施加旋塗式玻璃在晶圓上來執行,接著使用腐蝕性化學品及物理研磨。因此,比起其他微製程技術而言,CMP是一種非常粗糙且全然研磨的製程。故CMP無法用於微製程製造積體電路的眾多處理步驟。例如,CMP無法用於微製程製造電晶體以及其他前段結構,尤其是當閘氧化層曝光時。此外,CMP製程非常昂貴,執行難度高,且典型地會降低機能性積體電路的產出。
此處所揭露之技術乃提供不需機械研磨之化學平坦化製程。此等技術包含使用可顯影之平坦化材料,並將此平坦化材料的高度削減至目標值。舉例來說,顯影劑可溶之底部抗反射塗層(DBARC)為一種與光阻同時顯影之底部抗反射塗層(BARC)。使用矽(Si)DBARC或其他顯影劑填充材料,有著精心打造的特徵部的晶圓便不需使用CMP即可進行平坦化。在一特定例中,整個晶圓被覆蓋以相當大量的Si-DBARC,進而在非平坦結構上提供了一平坦薄膜。在該Si-DBARC上方接著以酸覆蓋,並藉由溶解及分解此材料而在垂直的方向上往下至一設定停止點而移除Si-DBARC的量,藉此而平坦化該晶圓。晶圓平坦化之後,半導體生產製程便可繼續並跳過CMP步驟,進而節省時間與金錢。在此揭露之此項技術可稱之為化學拋光及平坦化(CPP)製程。此CPP製程可在晶圓停留在塗佈機/顯影器(例如位移工具(track tool))中時,一次讓結構在多 階層(例如多個高度)上被建立或圖案化。因此此方法可去除電漿蝕刻步驟(消除兩個運送步驟)、保存晶圓且可對後續圖案化的光阻選擇上提供更大的彈性。
一實施例包含平坦化基板的方法,該方法包含多個步驟。接收或產生一具有微製程結構之基板,該等微製程結構在高度上彼此相異,俾使該等微製程結構於該基板的整個上部界定出一非平面之表面形貌。於該基板上沉積一平坦化薄膜,俾使該平坦化薄膜在該基板之該上部上產出一第一平坦表面。該平坦化薄膜包含一可變溶解度材質。接著沉積一溶解度改變劑於該平坦化薄膜之該第一平坦表面上。激發該溶解度改變劑,俾使該溶解度改變劑改變該平坦化薄膜之一頂部的溶解度。該平坦化薄膜之該頂部具有之厚度係自該平坦化薄膜之該第一平坦表面垂直延伸至該平坦化薄膜中的一預定深度。接著移除該平坦化薄膜之該頂部,並致使該基板具有一目標高度之平坦表面或具有於該基板上之一垂直點的平坦表面。
當然,此處所描述之不同步驟的討論順序是為了清晰呈現。總體而言,這些步驟可以以任何適切的順序來執行。此外,雖然此處的每一個不同特徵部、技術、結構等可以在本揭露中的不同地方做討論,在此係係意圖使該等概念中的每一個可彼此獨立或互相組合而執行。因此,本發明可以許多不同方式來體現及檢視。
吾人應注意此發明內容段落並未明確說明每一個實施例以及/或本揭露案或所主張發明之新穎性增加的觀點。取而代之地,本發明內容段落僅提供較習知技術優異之各種實施例以及相對應之新穎性觀點的初步討論。對本發明及實施例之額外的細節及/或看法,讀者請往本揭露案之詳細說明段落(實施方式段落)及相關圖式觀看,如下將進一步討論。
105‧‧‧基板
106‧‧‧結構
107‧‧‧結構
108‧‧‧底表面
110‧‧‧平坦化薄膜
112‧‧‧第一平坦表面
114‧‧‧上部
115‧‧‧頂部
117‧‧‧預定深度
120‧‧‧溶解度改變劑
127‧‧‧目標平坦表面
132‧‧‧BARC層
134‧‧‧光阻層
138‧‧‧圖案化光阻
對本發明之各種實施例的進一步瞭解及其伴隨的許多優點將在參照下面之詳細說明以及所附的圖式之後變得更加明顯。該等圖式並不一定完全依照比例,取代的是將重點放在強調說明特徵部、原則以及概念。
圖1顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖2顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖3顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖4顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖5顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖6顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖7顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖8顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖9顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程;圖10顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程; 圖11顯示一示範基板區段之橫剖面示意圖,說明根據此處揭露之實施例中之一處理流程。
此處所揭露之技術提供一化學平坦化製程,其不需利用機械研磨而可平坦化基板。該技術包含沉積一可顯影平坦化材料,接著利用一溶解度改變劑將該平坦化材料之高度削減至一目標值。當基板(例如矽晶圓)具有例如線路、段差、溝槽、孔洞或其他結構之外形特徵部時,便可使用化學拋光及平坦化(CPP)製程。
利用旋轉塗佈、噴霧塗佈或浸漬塗佈技術施加一平坦化、可顯影材質(例如Si-DBARC)之薄膜至該基板,俾便將該薄膜完整覆蓋整個結構。接著透過旋轉塗佈、噴霧塗佈或蒸汽曝光或浸漬塗佈技術施加一薄層或相當少量的溶解度改變劑(例如溫度酸產生劑(TAG,temperature acid generator)或其他相容的酸)。該溶解度改變劑可接著擴散或化學改變該平坦化薄膜(Si-DBARC)之頂層而不影響或改變整個平坦化薄膜的深度。此頂層或頂部具有之厚度可例如延伸自該平坦化薄膜之頂表面到基板上最高結構之頂表面(最高下伏結構)、或到該最高結構上方某一點之間。若使用TAG,所釋放出的酸量便可利用烘烤溫度以及期間來精細控制。烘烤TAG會釋放出指定量的酸,以達到指定或目標深度。此頂層便可利用一顯影步驟而被分解且清洗掉,如同習知之光阻材料的顯影。如此便會在基板的頂部產出一光滑均勻的平面。以較熱溫度對該Si-DBARC執行後續烘烤可硬化該Si-DBARC,並使其無法顯影。因此,傳統之CMP製程可完全被此處所揭露之以顯影劑為基礎的平坦化技術所取代。以顯影劑為基礎的平坦化也可透過微影技術而增加結構,且同時在一基板上以多個高 度進行蝕刻。新的結構也可於一次操作內在各種現有的結構頂端上產生,不論現有高度的差異為何,藉此降低完成一給定之微晶片製造處理所需之操作次數。
此處之技術包含濕式薄型化平坦化技術,其可利用限制擴散顯影劑步驟來實施。取代使用旋塗式玻璃之後進行CMP的方式,此處技術係使用可顯影之填充塗佈材料。可顯影材料也就是可與光酸、酸以及/或鹼反應的材料,其反應為改變它們的溶解度。過去當可顯影材料被沉積時,通常形成為保形薄膜(也就是說,假定為結構之表面形貌的薄膜)。然而最近,展現出非保形沉積行為之材料(可產生平面層之薄膜)均做成可顯影。藉由將此類材料做成可顯影,產出之薄膜便可與顯影劑溶液發生反應,且/或具有某些差異溶解度(使溶解度改變的能力)。
溶解度改變劑(例如酸或光酸)在正確的控制下可擴散進入可顯影材料中,例如擴散至一特定奈米數。吾人應注意此處所使用之光酸並不需蝕刻曝光來激發。例如光酸可以是合成的、且之前已經曝光,故不再需要曝光。取而代之的是,該溶解度改變劑製程可以是以溫度及/或時間為基礎的製程,而以光為基礎的製程仍可作為另一選擇。因此,此處技術可使用溫度酸產生劑製程而非掃瞄曝光製程。光酸可被導入作為可顯影平坦層上的材料。此光酸材料接著自其頂端往下到一已知的擴散長度(例如由該顯影平坦化薄膜覆蓋之最高結構的頂端)進行烘烤。此光酸可被往下烘烤一已知長度,接著便停下來等候。酸的使用量、烘烤時間以及烘烤溫度端視平坦化薄膜的類型以及目標擴散深度。橫向臨界尺寸濕式薄型化的習知資料可用來解釋及推斷而找出足夠之烘烤溫度、烘烤時間以及溶解度改變劑。
圖1-圖9顯示示範基板區段之橫剖面示意圖,說明為了使基板平坦化,利用塗佈機/顯影器工具為基礎之平坦化製程,進行對非平面基板之化學平坦化的各種步驟。第一步驟為接收、產生或提供一基板,該基板具有微製程 結構且該等結構在高度上彼此相異,俾使該等微製程結構乃於該整個基板之一上部界定出一非平面的表面形貌。圖1顯示出基板105具有一非平面的表面形貌。吾人應注意到相對於基板105之底表面108(下表面),結構107係比結構106要高。藉由非限制性的範例,結構可為所產生之FinFET(鰭狀場效電晶體)裝置的鰭狀結構以及閘極結構。吾人應注意,由於這些結構均為微加工製成,高度的差異可為微米級或奈米級或更小。例如在定義一非平面表面時,在一給定基板上的某些結構可能差異數個到數十個微米,而在另一基板上的結構可能差異在數個到數十個奈米。
現在請參照圖2,在接收到該非平面的基板105之後,在該基板上沉積一平坦化薄膜110,俾使該平坦化薄膜110於該基板105之上部114上產出一第一平坦表面112。該平坦化薄膜包含一可變溶解度材質,不然就是具有一組成成分可轉換溶解度。因此,該平坦化薄膜之至少一組成材料可轉換該平坦化薄膜之溶解度以回應一特殊處理以及/或一或多個溶解度改變劑。吾人應注意,此種平坦化係與初始的結構有關。例如,若該等結構之間差異為40奈米,則該第一平坦表面112會大致平坦,但在高度的一致性上會有高達數奈米的差異。任何可在半導體顯影工具中被顯影的平坦化材質均可選用。可顯影材質會與某些特定劑產生反應而轉換其溶解度。溶解度的轉換可增加或減少對給定顯影劑的溶解度。例如可使用DBARCs或含矽的DBARCs。抗反射塗層並不需要,但使用ARC的好處是它們在平坦化能力上非常一致,並可有效填充各種形態的結構。亦可使用光阻,但若有指定特定的粗糙容忍度的話,便可能需要額外的工程來提供可接受之平坦化特性。此處平坦化材料的另一個選擇是增加一光敏劑至旋塗式碳層。
現在請參照圖3,溶解度改變劑120係沉積於平坦化薄膜110之第一平坦表面112上。溶解度改變劑120可藉由旋轉塗佈法、液體分配法、沉浸式 或汽相沉積法來加以沉積。溶解度改變劑120會與可變溶解度材料產生反應而轉變平坦化薄膜110的溶解度。藉由將溶解度改變劑120沉積在一平坦表面(在基板105上大致平坦)上,可實現在Z高度上溶解度的轉變。溶解度改變劑120可從包含各種酸的多種材料中選擇。例如,若平坦化薄膜為一種負型光阻,溶解度改變劑120便可選擇當作一種鹼。對於熟知微製程及光微影技術者而言,各種可顯影材料及溶解度改變劑的相容性均在其已知範圍內。
現在請參照圖4,可使用技術來產生平坦化薄膜110之頂部115在Z高度上溶解度的改變,並停止於平坦化薄膜110內之一特定位置。激發溶解度改變劑120,俾使溶解度改變劑120改變平坦化薄膜110之頂部115的溶解度。平坦化薄膜110之頂部115可例如具有之厚度係從平坦化薄膜110之第一平坦表面112垂直到平坦化薄膜110內之預定深度117處。雖非必須,但此預定深度可延伸至由平坦化薄膜110所覆蓋之最高結構特徵部。
激發溶解度改變劑120的步驟包含加熱溶解度改變劑120,俾使溶解度改變劑120擴散入該平坦化薄膜至該預定深度,並改變該平坦化薄膜之頂部115的溶解度。圖10及圖11顯示此激發處理之放大示意圖。圖10乃對應於圖3,並顯示出沉積於平坦化薄膜110之第一平坦表面112上之溶解度改變劑120。該基板以及/或溶解度改變劑120便接著被加熱或激發。如此使得溶解度改變劑120向下擴散進入平坦化薄膜110。圖11顯示之箭頭係說明溶解度改變劑120之整體擴散流動。藉由停止激發、不然就是僅提供一特定量的溶解度改變劑120,俾使擴散自我限制,故擴散僅往下發生至預定深度117。改變劑的分子量亦可經選擇而導致自我限制之擴散長度。加熱溶解度改變劑的步驟可包含控制加熱參數,俾使溶解度改變劑的擴散止於約預定深度117處。在一實施例中,基板的堆疊係加熱至約80℃到100℃之間。
因此,激發步驟乃取決於特定擴散長度。擴散的驅動或激發步驟典型上是熱。在替代性的實施例中,可使用極紫外線(EUV)、UV射線或可見光。微波熱亦可選擇性的被使用。或者,可同時供應熱及UV射線以驅動激發步驟。UV可用來將習知的光酸發生劑(PAG)轉換成光酸。有些酸或溶解度改變劑不需熱激發而可自體激發或擴散。自體激發劑可被沉積、然後遺留在平坦化層上一段足夠的時間,以擴散一預定深度。有些自體激發劑具有自我限制的擴散深度,而基本上產生了一可溶解材料的單層。因此可施加或移除多層塗佈直到達到一目標深度(或結構上之目標高度)。
參照圖5,在改變了平坦化薄膜110之頂部115的溶解度之後,頂部115便被移除,並導致基板105具有目標平坦表面127。移除步驟的執行可藉由化學顯影劑及將頂部115轉換成可被沖洗掉的溶液的顯影器工具。由於現在薄膜的此區域(頂部)為可溶解的(但下方區域仍維持不可溶解),吾人便可能沖洗掉光酸(可溶解)以及受影響之平坦化層(可溶解)兩者。
此時該目標平坦表面127具有一多材料的表面,其中該表面的某些部分為基板105之結構的頂表面(在平坦化層沉積之前就已存在),而其他表面部分則為平坦化薄膜110。為了預防平坦化薄膜110的溶解度進一步改變,可進行後曝光烘烤而以足以使平坦化薄膜110不再與溶解度改變劑反應的溫度對基板進行熱處理。
有了經由顯影器工具產生之平坦表面127,便可繼續進行額外的製造技術。例如,後續的圖案化便可繼續在一平坦表面上進行,而該平坦化材料可視需要被蝕刻穿透,以同時蝕刻下伏之不同高度的特徵部。參照圖6,可施加一BARC層132,接著再沉積光阻層134。然後將光阻層134暴露至一電磁波圖案,以在光阻層134產生一隱蔽圖案。圖7顯示一顯影之後的圖案化光阻138。圖案化光阻138接著可被用來蝕刻特徵部至該基板堆疊,結果顯示於圖8。吾人應 注意,可同時以多個不同高度蝕刻特徵部。蝕刻操作完成之後,該等光罩層便可被移除,留下一圖案化基板,如圖9所示。因此,有了此處的技術,便可利用在顯影器工具上之化學平坦化而將一非平面基板有效的平坦化。此種以顯影器工具為基礎的平坦化可改善效率、降低成本,還可提供非研磨性的平坦化而保存較不堅固的結構或需要的薄膜。
在前述的說明中,已設定某些特定細節,例如處理系統之特定幾何形狀、各種元件之描述以及此處所用之製程。然而吾人應瞭解,此技術在沒有該等特定細節的情況下仍可在其他實施例中實現,且該等細節乃為了解說的目的而非用於限制。此處所揭露之實施例乃參照所附圖式而說明。類似地,為了說明的目的,已設定特定數字、材料以及結構以便提供完整的瞭解。然而,實施例不需該等特定細節仍可實施。具有實質上相同功能結構之元件乃設定以類似的參照號碼,因此可省略重複說明。
此處的各種技術係以多個不同的操作來輔助對各種實施例的瞭解。說明的順序不應被理解為暗示這些操作有其先後順序。事實上,這些操作並不需依照出現的順序來執行。所描述之操作可與所描述之實施例不同的順序進行。可能執行各種額外的操作,以及/或在額外的實施例中可能省略所描述的操作。
此處所使用的「基板」或「目標基板」一般指稱依照本發明進行處理的物體。該基板可包含裝置的材料部或結構,尤其是半導體或其他電子裝置,且可能例如是一底基板結構,例如半導體晶圓、倍縮光罩或位於底基板結構上或上覆於底基板結構上之例如薄膜的一層。因此,基板並不限制為任何特定底結構、下伏層或上覆層、圖案化或未圖案化,而是包含任意此種層或底結構以及該層及/或底結構之各種組合。本說明可能參照特定種類的基板,但其僅因說明之目的。
熟悉本技藝者應可理解,上述說明之技術操作可以許多變異方式操作仍可達成本發明之相同目標。該等變異仍應視為本揭露之範圍。因此,本發明之實施例的前述說明並非用於限制。更確切的說,本發明之實施例的任何限制均呈現於下述的申請專利範圍中。
105‧‧‧基板
110‧‧‧平坦化薄膜
115‧‧‧頂部
117‧‧‧預定深度
120‧‧‧溶解度改變劑

Claims (20)

  1. 一種平坦化基板的方法,其步驟包含:接收一基板,該基板乃具有第一與第二微製程結構,其中該第一微製程結構在高度上係相異於該第二微製程結構,俾使該第一與該第二微製程結構乃於該整個基板之一上部界定出一非平面的表面形貌;於該基板上沉積一平坦化薄膜,俾使該平坦化薄膜在該基板之該上部上產出一第一平坦表面,該平坦化薄膜包含一可變溶解度材質;沉積一溶解度改變劑於該平坦化薄膜之該第一平坦表面上;激發該溶解度改變劑,俾使該溶解度改變劑改變該平坦化薄膜之一頂部的溶解度,該平坦化薄膜之該頂部具有之一厚度係自該平坦化薄膜之該第一平坦表面垂直延伸至該平坦化薄膜中的一預定深度;移除該平坦化薄膜之該頂部,致使該基板具有一目標平坦表面;以及在該移除步驟之後,通過該目標平坦表面,將特徵部蝕刻至該基板之該第一與該第二微製程結構中。
  2. 如申請專利範圍第1項之平坦化基板的方法,其中激發該溶解度改變劑的該步驟包含加熱該溶解度改變劑,俾使其擴散進入該平坦化薄膜至該預定深度,並改變該平坦化薄膜之該頂部的溶解度。
  3. 如申請專利範圍第2項之平坦化基板的方法,其中加熱該溶解度改變劑之該步驟包含控制加熱參數,俾使該溶解度改變劑之擴散約止於該預定深度處。
  4. 如申請專利範圍第2項之平坦化基板的方法,其中該加熱步驟包含將該基板加熱至約80℃~100℃之間。
  5. 如申請專利範圍第1項之平坦化基板的方法,其中沉積該平坦化薄膜之該步驟包含沉積一可顯影之抗反射塗層。
  6. 如申請專利範圍第5項之平坦化基板的方法,其中該可顯影之抗反射塗層含矽。
  7. 如申請專利範圍第1項之平坦化基板的方法,其中沉積該溶解度改變劑之該步驟包含液相沉積。
  8. 如申請專利範圍第1項之平坦化基板的方法,其中該溶解度改變劑為一種酸。
  9. 如申請專利範圍第8項之平坦化基板的方法,其中沉積該溶解度改變劑之該步驟包含不曝露至電磁輻射而合成一光酸。
  10. 如申請專利範圍第1項之平坦化基板的方法,其中該溶解度改變劑為一熱激發之酸產生劑。
  11. 如申請專利範圍第1項之平坦化基板的方法,其中將該平坦化薄膜之該頂部移除的該步驟包含使用一化學顯影劑以溶解該平坦化薄膜之該頂部。
  12. 如申請專利範圍第1項之平坦化基板的方法,其中該預定深度約等於自該第一平坦表面至該基板上之一給定結構之間的距離,該給定結構係相對較高於該基板上之其他結構。
  13. 如申請專利範圍第1項之平坦化基板的方法,其步驟更包含透過光微影技術而在該目標平坦表面上產生一光阻圖案化層。
  14. 如申請專利範圍第13項之平坦化基板的方法,其中該特徵部蝕刻步驟係利用該光阻圖案化層當作一光罩。
  15. 一種平坦化基板的方法,其步驟包含:接收一基板,該基板乃具有第一與第二微製程結構,其中該第一微製程結構在高度上係相異於該第二微製程結構,俾使該第一與該第二微製程結構乃於該整個基板之一上部界定出一非平面的表面形貌;於該基板上沉積一平坦化薄膜,俾使該平坦化薄膜在該基板之該上部上產出一第一平坦表面,該平坦化薄膜包含一可變溶解度材質;沉積一溶解度改變劑於該平坦化薄膜之該第一平坦表面上;激發該溶解度改變劑,俾使該溶解度改變劑改變該平坦化薄膜之一頂部的溶解度,該平坦化薄膜之該頂部具有之一厚度係自該平坦化薄膜之該第一平坦表面垂直延伸至該平坦化薄膜中的一預定深度;以及移除該平坦化薄膜之該頂部,致使該基板具有一目標平坦表面,其中沉積該溶解度改變劑之該步驟包含使用汽相曝露沉積。
  16. 一種平坦化基板的方法,其步驟包含:接收一基板,該基板乃具有第一與第二微製程結構,其中該第一微製程結構在高度上係相異於該第二微製程結構,俾使該第一與該第二微製程結構乃於該整個基板之一上部界定出一非平面的表面形貌;於該基板上沉積一平坦化薄膜,俾使該平坦化薄膜在該基板之該上部上產出一第一平坦表面,該平坦化薄膜包含一可變溶解度材質;沉積一溶解度改變劑於該平坦化薄膜之該第一平坦表面上;激發該溶解度改變劑,俾使該溶解度改變劑改變該平坦化薄膜之一頂部的溶解度,該平坦化薄膜之該頂部具有之一厚度係自該平坦化薄膜之該第一平坦表面垂直延伸至該平坦化薄膜中的一預定深度;以及 移除該平坦化薄膜之該頂部,致使該基板具有一目標平坦表面,其中該溶解度改變劑為一鹼,而該平坦化薄膜包含一正型抗蝕劑。
  17. 一種平坦化基板的方法,其步驟包含:接收一基板,該基板乃具有第一與第二微製程結構,其中該第一微製程結構在高度上係相異於該第二微製程結構,俾使該第一與該第二微製程結構乃於該整個基板之一上部界定出一非平面的表面形貌;於該基板上沉積一平坦化薄膜,俾使該平坦化薄膜在該基板之該上部上產出一第一平坦表面,該平坦化薄膜包含一可變溶解度材質;沉積一溶解度改變劑於該平坦化薄膜之該第一平坦表面上;激發該溶解度改變劑,俾使該溶解度改變劑改變該平坦化薄膜之一頂部的溶解度,該平坦化薄膜之該頂部具有之一厚度係自該平坦化薄膜之該第一平坦表面垂直延伸至該平坦化薄膜中的一預定深度;對該基板進行熱處理,俾使該平坦化薄膜不再與該溶解度改變劑作用;以及移除該平坦化薄膜之該頂部,致使該基板具有一目標平坦表面。
  18. 一種平坦化基板的方法,其步驟包含:接收一基板,該基板乃具有第一與第二微製程結構,其中該第一微製程結構在高度上係相異於該第二微製程結構,俾使該第一與該第二微製程結構乃於該整個基板之一上部界定出一非平面的表面形貌;於該基板上沉積一平坦化薄膜,俾使該平坦化薄膜在該基板之該上部上產出一第一平坦表面,該平坦化薄膜包含一可變溶解度材質;沉積一溶解度改變劑於該平坦化薄膜之該第一平坦表面上,該溶解度改變 劑乃擴散入該平坦化薄膜之一頂部而至一預定深度,並改變該平坦化薄膜之該頂部的溶解度,該平坦化薄膜之該頂部具有一厚度係自該平坦化薄膜之該第一平坦表面垂直延伸至該平坦化薄膜中的該預定深度;移除該平坦化薄膜之該頂部,而致使該基板具有一目標平坦表面;以及在該移除步驟之後,通過該目標平坦表面,將特徵部蝕刻至該基板之該第一與該第二微製程結構中。
  19. 如申請專利範圍第18項之平坦化基板的方法,其中該溶解度改變劑乃根據沉積於該第一平坦表面上之該溶解度改變劑的量而擴散入該平坦化薄膜之該頂部直至該預定深度。
  20. 如申請專利範圍第19項之平坦化基板的方法,其中該溶解度改變劑乃根據該溶解度改變劑停留在該第一平坦表面上之時間量而擴散入該平坦化薄膜之該頂部直至該預定深度。
TW103138747A 2013-11-08 2014-11-07 用於化學拋光與平坦化之方法 TWI579897B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361901768P 2013-11-08 2013-11-08

Publications (2)

Publication Number Publication Date
TW201541502A TW201541502A (zh) 2015-11-01
TWI579897B true TWI579897B (zh) 2017-04-21

Family

ID=53041998

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103138747A TWI579897B (zh) 2013-11-08 2014-11-07 用於化學拋光與平坦化之方法

Country Status (5)

Country Link
US (1) US9378974B2 (zh)
JP (1) JP6357231B2 (zh)
KR (2) KR20160083080A (zh)
TW (1) TWI579897B (zh)
WO (1) WO2015069646A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771764B (zh) * 2018-04-16 2022-07-21 美商應用材料股份有限公司 形成光學裝置的方法、製造光學裝置的方法及光學元件層堆疊

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9547743B2 (en) 2015-02-25 2017-01-17 Kabushiki Kaisha Toshiba Manufacturing method for a semiconductor device, pattern generating method and nontransitory computer readable medium storing a pattern generating program
JP6750155B2 (ja) * 2016-05-13 2020-09-02 東京エレクトロン株式会社 光剤を用いた限界寸法制御
US11393694B2 (en) * 2018-11-13 2022-07-19 Tokyo Electron Limited Method for planarization of organic films
JP7312645B2 (ja) * 2019-08-26 2023-07-21 東京エレクトロン株式会社 基板処理方法、基板処理装置、及び記憶媒体
US20210294148A1 (en) * 2020-03-17 2021-09-23 Tokyo Electron Limited Planarizing Organic Films
JP2022099428A (ja) * 2020-12-23 2022-07-05 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 犠牲層の上部を除去する方法、それに用いられる犠牲溶液および酸性水溶液
WO2023028236A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. In-resist process for high density contact formation

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187683B1 (en) * 1997-04-15 2001-02-13 Sgs-Thomson Microelectronics S.R.L. Method for final passivation of integrated circuit
US6337242B1 (en) * 1999-05-14 2002-01-08 Hyundai Electronics Industries Co., Ltd. Method for fabricating mixed signal semiconductor device
US20090159948A1 (en) * 2007-12-20 2009-06-25 International Business Machines Corporation Trench metal-insulator metal (mim) capacitors
US20130034965A1 (en) * 2011-08-03 2013-02-07 Samsung Electronics Co., Ltd. Methods of forming fine patterns using dry etch-back processes

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916819A (en) 1996-07-17 1999-06-29 Micron Technology, Inc. Planarization fluid composition chelating agents and planarization method using same
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
DE10240748B4 (de) 2002-08-29 2010-04-01 Qimonda Ag Verfahren zur Planarisierung einer Halbleiterprobe
US8053159B2 (en) * 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
SG147418A1 (en) * 2004-09-21 2008-11-28 Molecular Imprints Inc Method of forming an in-situ recessed structure
KR20070120605A (ko) * 2005-04-14 2007-12-24 더 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 미세제작을 위한 희생층의 조절가능한 용해도
WO2010096615A2 (en) * 2009-02-19 2010-08-26 Brewer Science Inc. Acid-sensitive, developer-soluble bottom anti-reflective coatings
US8883407B2 (en) * 2009-06-12 2014-11-11 Rohm And Haas Electronic Materials Llc Coating compositions suitable for use with an overcoated photoresist
US8507191B2 (en) 2011-01-07 2013-08-13 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
US8703004B2 (en) * 2011-11-14 2014-04-22 Kabushiki Kaisha Toshiba Method for chemical planarization and chemical planarization apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6187683B1 (en) * 1997-04-15 2001-02-13 Sgs-Thomson Microelectronics S.R.L. Method for final passivation of integrated circuit
US6337242B1 (en) * 1999-05-14 2002-01-08 Hyundai Electronics Industries Co., Ltd. Method for fabricating mixed signal semiconductor device
US20090159948A1 (en) * 2007-12-20 2009-06-25 International Business Machines Corporation Trench metal-insulator metal (mim) capacitors
US20130034965A1 (en) * 2011-08-03 2013-02-07 Samsung Electronics Co., Ltd. Methods of forming fine patterns using dry etch-back processes

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI771764B (zh) * 2018-04-16 2022-07-21 美商應用材料股份有限公司 形成光學裝置的方法、製造光學裝置的方法及光學元件層堆疊
US11626321B2 (en) 2018-04-16 2023-04-11 Applied Materials, Inc. Multi stack optical elements using temporary and permanent bonding

Also Published As

Publication number Publication date
KR20160083080A (ko) 2016-07-11
WO2015069646A1 (en) 2015-05-14
US9378974B2 (en) 2016-06-28
US20150140825A1 (en) 2015-05-21
JP6357231B2 (ja) 2018-07-11
TW201541502A (zh) 2015-11-01
KR101988193B1 (ko) 2019-06-11
JP2016539362A (ja) 2016-12-15
KR20180119705A (ko) 2018-11-02

Similar Documents

Publication Publication Date Title
TWI579897B (zh) 用於化學拋光與平坦化之方法
TWI582830B (zh) 極紫外光光阻蝕刻耐久性改良及圖案崩塌減輕
US6566280B1 (en) Forming polymer features on a substrate
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
TWI606503B (zh) 基板之平面化系統及方法
US9263297B2 (en) Method for self-aligned double patterning without atomic layer deposition
TWI404141B (zh) 圖案形成方法、半導體裝置之製造方法及半導體裝置之製造裝置
TWI442470B (zh) 圖案形成方法及半導體裝置之製造方法
US8753976B1 (en) Methods and apparatus for etching photo-resist material through multiple exposures of the photo-resist material
JP2012519959A (ja) パターンを形成する方法
KR20080025818A (ko) 하드 마스크 형성 방법
US20120270398A1 (en) Planarization method for high wafer topography
KR20190073585A (ko) 분해능이하 기판 패터닝 방법
US7384726B2 (en) Resist collapse prevention using immersed hardening
US9613807B2 (en) Methods for fabricating integrated circuits using directed self-assembly chemoepitaxy
TWI817027B (zh) 旋塗且藉cvd法沉積之有機膜的平坦化方法
JP3893009B2 (ja) パターン形成方法
JPH10321625A (ja) 半導体装置の製造方法
JP2005084312A (ja) レジストパターニング方法及び半導体装置の製造方法