JP2897886B2 - ランダム・アクセス・メモリー装置 - Google Patents

ランダム・アクセス・メモリー装置

Info

Publication number
JP2897886B2
JP2897886B2 JP1307787A JP30778789A JP2897886B2 JP 2897886 B2 JP2897886 B2 JP 2897886B2 JP 1307787 A JP1307787 A JP 1307787A JP 30778789 A JP30778789 A JP 30778789A JP 2897886 B2 JP2897886 B2 JP 2897886B2
Authority
JP
Japan
Prior art keywords
data
logic
sense amplifier
bit line
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP1307787A
Other languages
English (en)
Other versions
JPH02214090A (ja
Inventor
ケイ.ハーバート ブライアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NCR International Inc
SK Hynix America Inc
Original Assignee
NCR International Inc
Hyundai Electronics America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NCR International Inc, Hyundai Electronics America Inc filed Critical NCR International Inc
Publication of JPH02214090A publication Critical patent/JPH02214090A/ja
Application granted granted Critical
Publication of JP2897886B2 publication Critical patent/JP2897886B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G5/00Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators
    • G09G5/36Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators characterised by the display of a graphic pattern, e.g. using an all-points-addressable [APA] memory
    • G09G5/39Control of the bit-mapped memory
    • G09G5/393Arrangements for updating the contents of the bit-mapped memory
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Dram (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 この発明は集積回路ダイナミック・ランダム・アクセ
ス・メモリー(DRAM)に関し、特に従来のリード−モデ
ィファイ−ライトの連続サイクルに対比して、単一アク
セス・サイクル中、RAM内に記憶されているデータの論
理動作を実行する要素付ランダム・アクセス・メモリー
に関する。
〔従来の技術〕
ディジタル・コンピュータ技術において、ダイナミッ
ク・ランダム・アクセス・メモリー及びその応用は周知
である。従来の使用において、メモリー・アレイはその
行及び列アドレスを選択して個々のセルに書込まれるバ
イナリ・データを記憶するよう配列される。前に記憶し
たデータの抜出しは同様にアドレスするリード・オペレ
ーションを通して行われる。又、そのオペレーションは
同時に選ばれたセルのデータをリフレッシュする。も
し、セルに記憶されているデータと外部データとを論理
的に組合せて同じセルに記憶するなら、従来通りリード
−モディファイ−ライト動作シーケンスを実行すること
ができる。
従来のクロック同期システムにおけるロジック組合せ
ルーチンは少くとも1 1/2メモリー・アレイ・アクセス
・タイム以上に延び、最低のプロセッサ動作の1つとな
るであろう。
ビデオ・ディスプレイのフレーム・バッファへのラン
ダム・アクセス・メモリー(RAM)の応用及び一時的ビ
デオ・ディスプレイに期待するピクセル・カウント及び
色の変化の同時増加に対するRAMの応用はDRAMセルから
バイナリ・データと新データとを論理的に組合わせて同
じフレーム・バッファ・セル・アドレスに戻すことがで
きる速度を増加することが特に必要となる。故に、コン
ピュータ・アーキテクチャ及び回路について現在必要な
ことは、前記憶データをプロセッサ(ALU)に転送し、
論理動作を行ってからその結果のデータをメモリー・セ
ルに戻す現方式に対比して、メモリー・アレイ内でメモ
リー記憶データと外部データとを論理的に組合わせるこ
とができることである。
〔発明が解決しようとする問題点〕
従って、メモリー・セル・データを引出し、新データ
と論理的に組合わせ、それをメモリー・セル・アレイに
戻す際に、従来のリード−モディファイ−ライト・シー
ケンスより短い時間でそれを実行できる有効なアーキテ
クチャ及び回路の必要性がいまだ解決されていない。そ
の上、前記憶データと新データの論理的組合わせは高速
及び高触像度のビデオ・ディスプレイに使用するルーチ
ンを使用しうるよう十分多様性がなければならない。
〔問題を解決するための手段〕 この発明は以下説明するようにして上記の問題を解決
した。すなわち、本発明は、メモリー・アレイからのア
ドレス行ラインを選択するための行デコーダを有するラ
ンダム・アクセス・メモリーと、メモリー・アレイから
のビット線のデータ信号に応答するセンスアンプ手段
と、選択された所定のロジック組合せ及びそれに基づく
新データ信号を規定する制御信号を発生するモード・ロ
ジック手段と、メモリー・アレイの前記アドレス行ライ
ンのアドレッシング期間中において、モード・ロジック
手段からの前記制御信号に応答して、前記センス・アン
プ内のデータを直接変換するデータ変換手段と、を有す
る、新データと前格納データのロジック組合せをメモリ
・アレイに書き込むための回路を含むランダム・アクセ
ス・メモリー装置であって、前記センス・アンプ手段と
前記データ変換手段は、ランダム・アクセス・メモリー
のビット線と列デコーダとの間に配置され、前記データ
変換手段は、アドレス行ラインをアドレッシング中に、
そこに既に格納されている前格納データの複数値を発生
させる手段と、メモリー・アレイのビット線のバイナリ
ー状態をセンスするセンシング手段と、該センスされた
メモリー・アレイのビット線のバイナリー状態を、該ビ
ット線への新規書き込み信号と、前記前格納データの前
記補正値と、前記モード・ロジック手段からの前記制御
信号との論理組合せ演算に基づいて、バイナリーの0又
は1の各状態に選択的にドライブする手段と、から成る
ランダム・アクセス・メモリー装置を提供するものであ
る。このように、この発明は、現実施例において、すで
に従来DRAMセル・アレイに記憶されていたデータと新デ
ータとをビット又はセルごとに論理的に組合わせるよう
に構成したロジカル・ランダム・アクセス・メモリー
(LRAM)を含む。ビデオ・ディスプレイ・グラフィック
・システムでは、新ピクセル・データ(ソース・デー
タ)はDRAM内で前に記憶されているバックグラウンド・
ピクセル・データ(原ストアド・データ)と組合わされ
てその結果生じた論理的組合せピクセル・データが同じ
DRAMセルに記憶される。LRAMはシングル・メモリー・ア
クセス・サイクルで論理的組合せを行う。リード・ライ
ト・サイクルを単一の動作に統合することはDRAMアレイ
から選ばれたセルをアドレスしたとき、メモリー・アレ
イのセンスアンプと相互作用するロジックを通して達成
される。
ソース・データはブーリン(Boolean)ロジック・モ
ード選択信号と組合わされ、セルの各アドレシング中
に、制御状態信号FORCE1,FORCE0,COMP(補数)又はNOOP
(変更せず)を発生する。追加のロジックはセル・原ス
トアド・データに制御信号の影響を直ちに示す。そのデ
ータはまだセンスアンプにあり、直ちに論理組合せに使
用できる。
LRAMアーキテクチャはメモリー・セル又はアレイ構造
の主な再設計を要求せずにDRAMの有効バンド幅を増加す
る。この発明の特徴はLRAMのセンスアンプに組込まれる
成分数を減少することである。静的RAM構造にも使用で
きるが、この発明は特にDRAMに適しており、シングル又
は多重ポート構造及び高速DRAMを必要とする連続リード
−モディファイ−ライト・サイクルの実行に適してい
る。
好ましいアーキテクチャは選ばれたロジック動作を表
わすデータを記憶するモード・レジスタと、センスアン
プの制御信号を発生するためソース・データとモード信
号とを組合わせるデコード・ロジックとを含む。センス
アンプのロジックは原ストアド・データをアドレスし、
制御信号に応答してモード選択を満足する新セル・ビッ
ト状態を選択する。
〔実施例〕
第1図はLRAMをフレーム・バッファとして使用したビ
ット・マップド・ビデオ・ディスプレイ・システム内で
この発明の説明に使用するロジカル・ランダム・アクセ
ス・メモリー(LRAM)である。そのような応用における
従来のRAMに対するLRAMの使用はパターンが変化する速
度及びフレーム・バッファに挿入される速度を増加し、
直接走査及びディスプレイを可能にする。好ましい構成
のLRAMはシングル・メモリー・アクセス・サイクルでピ
クセルによりソース・データと原ストアド・データとを
論理的に組合わせる。これはリード−モディファイ−ラ
イト動作シーケンスを使用してフレーム・バッファ・メ
モリー・セル・データを変更する従来のDRAMフレーム・
バッファと対比するものである。
当時のビット・マップド・カラー・ビデオ・ディスプ
レイ・システムは多重ビットから成るデータ・ワードを
使用して、ディスプレイ・スクリーンの各ピクセル位置
の色構成を表わす。各ピクセルにあるビットの数が屡々
ピクセルの深さを表わす。ビデオ・スクリーンに像をデ
ィスプレイするため、ビデオ・システムのディスプレイ
・プロセッサはフレーム・バッファDRAMからピクセル・
フード・データを読出し、バイナリ・データ・ワードを
色及びブリンキングのような属性を表わす値に論理的に
変換し、ピクセルごとにその色情報をビデオ・ディスプ
レイのドライバ回路に送信する。
LRAMはグラフィック・モードで特に有益である。テス
ト・モードで、現データは単に書込まれる(交換モー
ド,D=S)。マイクロソフト・ウインドウのようなグラ
フィック・インタフェースにおけるロジック動作AND及
びXORは屡々icon基準カーサのようなピクセル・パター
ンをディスプレイするのに使用される。
従来のグラフィック・ディスプレイは長さが変化する
フレーム・バッファ・メモリー・サイクルを支持しな
い。可変長メモリー・サイクルを支持しないシステムで
は、LRAMは、又、システム・バスのアクセスは1回に1
つ以上要求できないので大きな成果の改善が期待でき
る。そのような従来のディスプレイ・アダプタ・アーキ
テクチャは2本のシステム・バス・トランザクションを
要求し、そのトランザクションはフレーム・バッファの
DRAMに対する2つのランダム・アクセス・サイクルより
長い場合さえ存在する。
可変長メモリー・サイクルを支持するシステムでは、
LRAM機能デコード方法論、すなわち、制御作用FORCE0,F
ORCE1,NOOP及びCOMPの使用はデータを処理する最も速い
方法を提供する。ロジックの組合わせは作図プロセッサ
で行うことができるが、可変長メモリー・サイクル能力
があっても、この方式は更に追加の実行時間を必要と
し、最小期間をこえたリード−モディファイ−ライト時
間の延長を必要とする。
ロジック作用は、前記憶データが一般に原ストアド
(又はディステイネーション)又はバックグラウンド・
データであり、前記憶DRAMデータと称して説明する。DR
AMに直接書込まれるか、又は前記憶DRAMデータと論理的
に組合わされる新データは一般にソース・データ又は前
景データと呼ばれる。ピクセルによるソース及び原スト
アド・データの論理的組合わせはグラフィック・ソフト
ウエア・ルーチンを使用してプロセッサで行われる。代
表的なロジック動作はソース・データと原ストアド・デ
ータとのXOR(排他的オア)組合わせであり、ディスプ
レイされたピクセル・データをその原状態に戻し、XOR
作用の第2の応用に続くというその能力によって有名に
なった。形式に関係なく、そのロジック作用は、従来の
DRAMフレーム・バッファ・データは結論状態に達するま
でリード−モディファイ−ライト・メモリー動作シーケ
ンスを受けることを要求し続ける。
現ロジック・ランダム・アクセス・メモリー(LRAM)
回路はシングルDRAMセル・アクセス・動作において多様
なロジック動作を実行する能力を有する。フレーム・バ
ッファはDRAMと共に高速変化を支持すると共にDRAMの基
本的セル及びアレイ構造を保持する。現に、LRAMの実施
例は16の作図モードを提供し、各々はソース・データと
共にピクセルによる現ストアド・データとの個々に規定
された論理的組合わせに対応する。テーブルIにおい
て、論理的組合わせから発生した新原ストアド・データ
はシングル・アクセス・サイクルの結果によってDRAMに
保持される。新原ストアド・データを示す16作図モード
(#0〜15)の選択はモード・レジスタ・ラインR1〜R4
による。
上記テーブルIの最後の2列に示すこの実施例の制御
信号はLRAMの原ストアド・データに直接作用し、単一ア
クセス・サイクルで、ソース・データ及び原ストアド・
データの規定された論理的組合わせをセルによって実施
した新データを発生して記憶する。テーブルIにおい
て、FORCE0は、アクセス・サイクルに従ってDRAMメモリ
ー・セルに記憶されるデータ・ビットはバイナリ0状態
に強制されることを意味する。同様に、FORCE1は、その
データ・ビットはバイナリ1状態に強制されることを意
味する。NOOPはアドレスされたメモリー・セルに前にあ
ったデータの動作変化の欠落を表わす。コマンドCOMPは
補数動作を示し、それによってアドレスされたメモリー
・セルに前にあったデータがアクセス・サイクルの達成
で反転するということを意味する。テーブルIは、ソー
ス・データ(S)及び原ストアド・データ(D)の相当
入念なロジックの組合せはアクセス・サイクルの開始に
おいて、セルにある原ストアド・データに直接4つの制
御信号FORCE0,FORCE1,COMP及びNOOPを使用して達成する
ことができることを示す。
テーブルIに規定した動作を表わす他の方法は真値テ
ーブルを通して行われる。テーブルIIに示すように、ソ
ース・データ及び原ストアド・データ間の関係は1組の
4レジスタ・ラインR1〜R4によって規定することができ
る。テーブルI及びIIに関し、R4〜R1はモード番号0〜
15を表わすバイナリ表現であることを注目しよう。
テーブルI,IIに表わした情報を詳細に検討すると、原
ストアド・データ値がテーブルIに指定した論理組合せ
を実行するべく明確に知る必要はないということを認識
させるものである。例えば、D及びSのロジックANDに
基づく新D値を持つように規定するモード#1におい
て、ソース・ビット0は常に新原ストアド値0を発生す
る。他方、ソース・ビットが1であれば、新たな原スト
アド値はもとの原ストアド値に等しい。これはテーブル
Iのモード#1において、ソース・ビット0のときに指
定されたFORCE0の結果により、ソース・ビットが1のと
きに指定されたNOOPの結果に反映する。この例から拡大
して、テーブルIはソース・データのバイナリ値に応答
して制御信号オペレータのフレーム(FORCE0,FORCE1,NO
OP,COMP)内で行うことができる比較的広い組の組合せ
ロジックを規定するということに注意しよう。LRAMの最
終的ゴールは前に記憶された原ストアド・データに関す
るロジック動作を実行してシングル・メモリー・アクセ
ス・サイクル内で新たな原ストアド・データを形成する
構造を明示することである。
テーブルIに規定された論理的動作は第1図のLRAMア
ーキテクチャを使用してランダム・アクセス・メモリー
に組入れられる。ダイナミック・セルの基本的メモリー
・アレイ1(DRAMアレイ)は行デコード2及び列デコー
ド3と共に従来のDRAMの対応する要素にほぼ等しい。シ
フトレジスタ4は屡々ビデオ・ディスプレイ・システム
に使用される直列データを出力容易にする。この発明の
基本的差違はラッチ及びロジック・ブロック6と、従来
はセンスアンプのみを含むロジック付センスアンプ・ブ
ロック7とにある。
シングル・メモリー・アクセス・サイクルの論理的組
合せと、メモリー・セル・アレイ1のアドレスされたセ
ルに対するデータのエントリとは、ソース・データ及び
モード選択データをラッチ及びロジック・ブロック6に
挿入することによって開始される急速な動作シーケンス
によって達成される。ブロック6から発生した論理的に
規定した制御信号はわずか遅延したライト・エネーブル
信号(▲▼)と共にロジック付センスアンプ・ブロ
ック7に送信される。そのようにして発生した入力信号
はブロック7のセンスアンプによる検出中、メモリー・
アレイ1から受信した原ストアド・データと共に論理的
に相互作用を受ける。メモリー・アクセス・サイクルの
終了によりセンスアンプの出力及びアドレスされたメモ
リー・セル・データの状態は選ばれたモード及びソース
・データによって規定された組合わせの論理的結果であ
る。
ソース・データと原ストアド・データとの論理的組合
わせはビット又はワードごとに供給されるモード選択と
共に、シングル・ビット・ホーマットで、又は複数ビッ
ト・ワード・ホーマットで行われる。8ビット・ワード
のソース及び原ストアド・データと、8ビット・バス
と、8ビット・レジスタとが現在のビデオ・ディスプレ
イ・システムでは一般的である。そのような場合、論理
的組合わせ中に、多ビット・ワードから個々のビット又
はビット群をマスクすることによって、ワードの論理的
組合わせにおける選択が可能である。
第1図LRAMアーキテクチャに基づく概念は他のダイナ
ミック・セルから成るメモリー・アレイについても使用
することができる。しかし、より高い密度、より低い相
対的アクセス速度及びリフレッシュの必要性はLRAMアー
キテクチャ及び回路をダイナミック・メモリーに対して
特に最適にする。この点に関し、LRAMにおけるデータの
同時センス及びリフレッシュはこの発明に対するような
シングル・アクセス・サイクルにおける論理的組合せを
容易にする。列ライン選択によってアドレスされたもの
を除き、すべてのセンスアンプは夫々のビット・ライン
をリフレッシュする。
第1図の複合LRAMアーキテクチャにおける従来の要素
の流行はこの発明による他の有益な面を提案する。制御
信号オペレータFORCE0,FORCE1,及びNOOPによって規定さ
れた論理的組合わせは比較的標準のDRAM装置及びセンス
アンプ回路を使用して実施することができる。その結
果、代替実施例においては、テーブルIのモード#2,6,
8〜11及び14を除き、すべての論理的組合わせモードは
標準DRAM及びセンスアンプ回路を使用し、シングル・メ
モリー・アクセス・サイクルで行うことができる。複数
アクセス・リード−モディファイ−ライト・サイクルは
上記にリストされたCOMP制御信号関係モードの1つを選
択したときに使用することができる。
第1図のLRAMアーキテクチャはメモリー・アレイ1に
記憶されているデータに対するリード動作を通し、比較
的従来の方式で動作することができる。その結果、リー
ド・サイクルは行アドレス・ストローブ(RAS)及び列
アドレス・ストローブ(CAS)と同期して夫々のデコー
ド2,3に対し行及び列アドレス情報を与えることを含
む。ライト(書込)動作はLRAMの動作速度を従来のDRAM
から非常に異ならしめるロジック要素を使用する。
第1図のラッチ及びロジック・ブロック6は第2図に
詳細に示すようにモード選択データR1〜R4をラッチする
多ビット・モード・レジスタ8を含む。ソース・データ
・ラッチ・ブロック9はライト信号に基づくストローブ
WR′に応答してソース・データ・ビットを保持し、その
後そのビットをデコード・ロジック・ブロック11に送
る。デコード・ロジック・ブロック11はすべてテーブル
Iに示すようにNOOP,FORCE0,FORCE1及びCOMP信号の状態
を規定するようモード選択データR1〜R4とソース・ビッ
ト・データとを論理的に組合わせる。NOOP信号は反転さ
れ、ANDゲート12からの立上り端遅延ライト(書込)信
号と組合わされ、ロジック付センスアンプ7(第1図)
に送信されるライト(WRITE)信号を発生する。ANDゲー
ト12からの信号WRITEは、又トランジスタ13にオア(O
R)ゲート14の出力を選択的に通過可能にし、そのオア
・ゲート14はFORCE1信号とCOMP信号とを組合わせる。こ
のゲート出力信号はロジック付センスアンプ・ブロック
7に送信されるセンスアンプ・データとなる。信号COMP
はロジック付センスアンプ・ブロック7にも向けられ
る。
第3図は第2図のデコード・ロジック・ブロック11の
内部構造を示す。アンド・ゲート16〜19及び21〜24と、
オア・ゲート26,27と、インバータ28,29,31とはモード
選択ラインR1〜R4のためにテーブルIの各モードによっ
て規定されたロジック動作と一致する構成である。
第4図はLRAMの1ビット・ラインのための代表的なロ
ジック付センスアンプを示す。第4図のロジック付セン
スアンプ回路は従来の多ビット・ライン・メモリー・ア
レイ構造のビット・ラインの番号と同一である。
メモリー・アレイ1(第1図)からのビット・ライン
・データはノード36からセンスアンプに入る。センスア
ンプの出力はノード37から供給される。残りの信号は前
の数字から識別でき、第5図の波形で規定した遅延変動
によるものである。サンプル・ノード39の電圧が比較さ
れるべき基準電圧はVREFGENで指定する。VREFGENの大き
さは、ロジック0とロジック1・レベルがビット・ライ
ン36からノード39に転送されるときにサンプル・ノード
39に見られる電圧間の半分が典型的なものである。
第1図及び第4図の実施例に適した信号の波形を第5
図に示す。DRAMアドレシングに日常使用されているもの
から明らかに異なる信号の1つはRASP及びCASPと称する
ものである。これらの信号は、そこから2つの展開、す
なわちその遅延間隔は行デコード・ブロック2を十分安
定させうるものであること、アレイ出力データをロジッ
ク付センスアンプ・ブロック7(第1図)に出力できる
ものであることという短い遅延によって区別することが
できる。
第4図のセンスアンプの機能は標準DRAMの作用とほぼ
同一である。そのワード動作におけるセンスアンプはビ
ット・ライン9電圧レベルを検出してアドレスされたメ
モリー・セルのバイナリ状態を決定する。ライン36のビ
ット・ライン・データはトランジスタM6を介してサンプ
ル・ノード39に送られ、それに続くセンスアンプによる
感知がトランジスタ14を介してセンスアンプ・データ・
ライン38に供給される。トランジスタ14はリード信号に
よってドライブされる。
リード動作用のセンスアンプ設計基準に従い、サンプ
ル・ノード39はビット・ラインにアドレスされたDRAMメ
モリー・セルに記憶されているチャージの大きさに基づ
き、基準電圧レベルVREFGENに比例した“ハイ”又は
“ロー”電位に引っぱられる。差動回路トランジスタM1
〜M5はVREFGENに対しサンプル・ノード39の電圧レベル
を比較し、トランジスタM12〜M13におけるその後の増幅
に従い、アドレスされたメモリー・セルの状態を表わす
センスアンプ出力レベル(ノード37)を決定する。トラ
ンジスタM8,M10を含むフィードバック・ループは、その
読取り(リード)の値と同一の状態にリフレッシュする
手段としてサンプル・ノード39が完全なデータ状態値
(VDD又は接地)に引っぱられるということを保証す
る。各ビット・ラインのセンスアンプ出力は第1図に示
すように直列出力のためにシフトレジスタ4に送信さ
れ、選択及び出力のために列デコード3に送信される。
COMP作用を使用する動作のため、ビット・ラインの電
圧レベルはM6を介してサンプル・ノードと共有するチャ
ージである。信号COMP′はトランジスタM6を介し、ビッ
ト・ライン36とサンプル・ノード39との間でチャージを
分割するに十分な期間だけ遅延される。M6は、COMP′信
号がロジック0になったときにターンオフする。サンプ
ル・ノード39のロジック・レベルはセンスアンプで決定
され、反転した値はトランジスタM17を通り、インバー
タ35によってビット・ライン36にドライブされる。
この実施例において、書込信号は第2図で前に説明し
た信号WR′の遅延立上り端に直接応答する。この遅延は
センスアンプに対する書込の前に、サンプル・ノードに
現われたビット・ラインのバイナリ状態を確認するため
の十分な時間を与える。
書込モードのLRAMの動作はリード信号をインアクティ
ブにし、トランジスタM14をディセーブルし、トランジ
スタM15を可能にするよう書込信号をアクティブにする
ことを要求する。COMP′がアクティブのとき、センスア
ンプ・データ・ライン38はサンプル・ノード39をドライ
ブするようライト信号のレベルに接続される。プルダウ
ン抵抗32はセンスアンプ・データ・ライン38のインピー
ダンスが高いが電位が低いときにサンプル・ノード39に
チャージする放電路を形成する。COMP′及びRASP′両方
共アクティブであるから、トランジスタM6はサンプル・
ノード39からメモリー・アレイのビット・ラインに書込
状態を送信することができる。
モード選択データR1〜R4によって規定されたロジック
動作がメモリー・アレイ・ビット・ライン・データの状
態が書込シーケンスの要素として補数にしなければなら
ないということをモード選択データR1〜R4によって規定
されたロジック動作がソース・データ・ビットについて
設定したとき、アクティブCOMPはトランジスタM6をディ
セーブルしてサンプル・ノード39からのセンスアンプ・
データ・ライン38を切る。書込,COMP及びセンスアンプ
・データの各状態のアンド・ゲート33における論理的組
合せはトランジスタM17を通し、インバータ34を介して
発生したライン17の反転センスアンプ出力値を供給す
る。ゲート33のアンド状態はセンスアンプ・データの書
込信号の動作に関連して遅延される(第2図)。ANDゲ
ート34の入力信号RASはトランジスタM6をディセーブル
して、トランジスタM17の可能化と一致するサンプル・
ノード39からのビット・ライン36切り、その後、その反
転状態をビット・ライン36及びアドレスされたメモリー
・セルに送信する。それによって、第4図のロジック回
路付センスアンプはアドレスされたメモリー・アレイ・
セルのデータを反転するべき選択能力を与え、その反転
はシングル・メモリー・アクセス・サイクル期間内で行
われる。
第4図のロジック付センスアンプの動作は一般にRAS
プリチャージ時間というインアクティブ・レベルにおい
て、行アドレス・ストローブ信号(RAS)によって開始
される。その期間中、RASが“ハイ”状態であると、ト
ランジスタM7は導通してサンプル・ノード39をプリチャ
ージする。信号RASPは信号RASに対しタイミングが同一
であり(第5図)、RASP信号はビット・ラインの安定化
時間だけ遅延するということが異なる。RASPが“ロー”
に遷移した後、ビット・ライン36からのデータはトラン
ジスタM6を介し、ゲート34におけるRASP′及びCOMP′信
号のロジカル・アンド組合わせに応答して、センスアン
プのサンプル・ノード39にゲートされる。
センスアンプ・トランジスタM1,M3はトランジスタM2,
M4にほぼ同一である。基準電圧VREFGENはトランジスタM
4のゲート電極に供給され、サンプル・ノード39の電圧
は差動補数トランジスタM3のゲート電極に供給される。
この構成は、サンプル・ノード39の電圧がVREFGENより
大であれば、トランジスタM12のゲート電極に対するド
ライブ信号はトランジスタM13のゲート電極に対するド
ライブ信号より低く、センスアンプ出力ノード37の電圧
レベルを減少させる。
このようにして、ノード37のセンスアンプ出力端子に
はノード39における信号値の複数値が出力される。同時
に、ノード37の値は、インバータ回路35を介してさらに
反転されてノード39にフィードバックする。これによっ
て、ノード36におけるビット・ライン・データ信号の、
基準電圧VREFGENに対する比較レベル値を安定的に確定
させる。トランジスタM10及びM8を通るサンプル・ノー
ド39に対するフィードバック・ループはサンプル・ノー
ド39を正電源レールVDDにドライブする。サンプル・ノ
ード39に送られたビット・ライン信号の“ロー”初期レ
ベルはセンスアンプ出力37の反対極性電圧を発生する。
センスアンプのこの部分は従来のDRAMセンスアンプと多
くの点で同一である。
多重ライン・データを取扱うLRAM構造における異なる
論理動作(FORCE1,FORCE0,NOOP及びCOMP)は異なるセン
スアンプ段のビット・ラインにおいても行われる。例え
ば、もし、テーブルIのモード#4が、そのモードD=
D&(Sでない)のもとにオペラテイブであると規定さ
れると、及びソース・レジスタが“0"及び“1"両データ
値を受信すると、FORCE0制御信号は、ソース・ビットが
“1"の場合、センスアンプにおけるロジック動作を規定
し、ソース・ビットが“0"の場合、NOOPが実行される。
NOOPは単にRAMセルをリフレッシュする。このようにア
クセスされたすべてのビット・ラインはリフレッシュさ
れるか新値が書込まれる。
LRAMアーキテクチャの代替構成はトランジスタ数を減
少することはできるが、動作速度を少々失う。例えば、
COMPの状態を認識し、実行するロジックは現センスアン
プの配置の代りに行デコーダに置くことができる。それ
でも本発明の焦点である4つの制御オペレータ(FORCE
0,FORCE1,NOOP及びCOMP)を論理的に発生してシングル
・メモリー・アクセス・サイクル中にソース・データと
原ストアド・データとを論理的に組合わせるよう動作す
るということに変りはない。
LRAMの利益はカラー・グラフィック・ビデオ・ディス
プレイ・システムに特に重要であり、大きなピクセル・
カウント、拡大したカラー・パレット及び高い作図速度
の組合わせ効果によって達成される例外的速度のフレー
ム・バッファDRAMを要求することができる。そのような
ディスプレイ・システムにおいて、このLRAMはシングル
・ポート・メモリー・アレイ・アーキテクチャに制限さ
れず、例えば多重ポートRAM構造にも適用して更に高度
な効果をあげることができる。
【図面の簡単な説明】
第1図は、LRAMアーキテクチャのブロック図、 第2図は、センスアンプ・ロジック回路に転送される制
御信号、ソース・データ及びモード選択データ間の関係
を示す図、 第3図は、モード選択及びソース・データに基づく制御
信号のデコードを示す回路図、 第4図は、単一ビット線に対するセンスアンプの一実施
例を示すLRAM回路図、 第5図は、メモリー・アレイ・ストローブ及びアドレス
信号の一般的タイミング関係を示すタイミング図であ
る。 図中、1……メモリー・セル・アレイ、2……行デコー
ド、3……列デコード、4……シフトレジスタ、6……
ラッチ及びロジック、7……ロジック付センスアンプ、
8……モード・レジスタ、9……ソース・データ・ラッ
チ、11……デコード・ロジック、12……アンド・ゲー
ト、13……トランジスタ、14……オア・ゲート、16〜1
9,21〜24……アンド・ゲート、26,27……オア・ゲー
ト、28,29,31……インバータ、36……ビット・ライン、
39……サンプル・ノード。
フロントページの続き (73)特許権者 999999999 シンバイオス・ロジック・インコーポレ イテッド アメリカ合衆国 コロラド州 80525 フォート コリンズ ダンフィールド コート 2001 (72)発明者 ブライアン ケイ.ハーバート アメリカ合衆国 80907 コロラド コ ロラド スプリングス,リムウツド ド ライブ 1780 (56)参考文献 特開 昭61−87194(JP,A) 特開 昭63−79296(JP,A) 特公 昭55−9742(JP,B2) (58)調査した分野(Int.Cl.6,DB名) G11C 11/40 - 11/409

Claims (1)

    (57)【特許請求の範囲】
  1. 【請求項1】メモリー・アレイからのアドレス行ライン
    を選択するための行デコーダを有するランダム・アクセ
    ス・メモリーと、 メモリー・アレイからのビット線のデータ信号に応答す
    るセンスアンプ手段と、 選択された所定のロジック組合せ及びそれに基づく新デ
    ータ信号を規定する制御信号を発生するモード・ロジッ
    ク手段と、 メモリー・アレイの前記アドレス行ラインのアドレッシ
    ング期間中において、モード・ロジック手段からの前記
    制御信号に応答して、前記センス・アンプ内のデータを
    直接変換するデータ変換手段と、を有する、新データと
    前格納データのロジック組合せをメモリ・アレイに書き
    込むための回路を含むランダム・アクセス・メモリー装
    置であって、 前記センス・アンプ手段と前記データ変換手段は、ラン
    ダム・アクセス・メモリーのビット線と列デコーダとの
    間に配置され、 前記データ変換手段は、 アドレス行ラインをアドレッシング中に、そこに既に格
    納されている前格納データの補数値を発生させる手段
    と、 メモリー・アレイの夫々のビット線のバイナリー状態を
    センスするセンシング手段と、 該センスされたメモリー・アレイの夫々のビット線のバ
    イナリー状態を、夫々の該ビット線への新規書き込み信
    号と、前記前格納データの前記補数値と、前記モード・
    ロジック手段からの前記制御信号との論理組合せ演算に
    基づいて、夫々バイナリーの0又1の各状態に選択的に
    ドライブする手段と、から成る、ことを特徴とするラン
    ダム・アクセス・メモリー・装置
JP1307787A 1988-12-02 1989-11-29 ランダム・アクセス・メモリー装置 Expired - Fee Related JP2897886B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US279,606 1988-12-02
US07/279,606 US5023838A (en) 1988-12-02 1988-12-02 Random access memory device with integral logic capability

Publications (2)

Publication Number Publication Date
JPH02214090A JPH02214090A (ja) 1990-08-27
JP2897886B2 true JP2897886B2 (ja) 1999-05-31

Family

ID=23069675

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1307787A Expired - Fee Related JP2897886B2 (ja) 1988-12-02 1989-11-29 ランダム・アクセス・メモリー装置

Country Status (3)

Country Link
US (1) US5023838A (ja)
JP (1) JP2897886B2 (ja)
GB (1) GB2225657B (ja)

Families Citing this family (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6112287A (en) * 1993-03-01 2000-08-29 Busless Computers Sarl Shared memory multiprocessor system using a set of serial links as processors-memory switch
EP0438011A3 (en) * 1990-01-18 1993-05-19 International Business Machines Corporation Logic on main storage memory cards for insertion and extraction of tag bits
US6751696B2 (en) * 1990-04-18 2004-06-15 Rambus Inc. Memory device having a programmable register
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US5321809A (en) * 1992-09-11 1994-06-14 International Business Machines Corporation Categorized pixel variable buffering and processing for a graphics system
JPH06111010A (ja) * 1992-09-29 1994-04-22 Ricoh Co Ltd Dram及びコントローラ
US5394361A (en) * 1992-10-22 1995-02-28 At&T Corp. Read/write memory
US5442748A (en) * 1993-10-29 1995-08-15 Sun Microsystems, Inc. Architecture of output switching circuitry for frame buffer
US5422998A (en) * 1993-11-15 1995-06-06 Margolin; Jed Video memory with flash fill
US5561629A (en) * 1995-03-10 1996-10-01 Xilinx, Inc. Latching sense amplifier for a programmable logic device
KR0179097B1 (ko) * 1995-04-07 1999-04-15 김주용 데이타 리드/라이트 방법 및 장치
US5732024A (en) * 1995-04-19 1998-03-24 Cirrus Logic, Inc. Circuits, systems and methods for modifying data stored in a memory using logic operations
US5561694A (en) * 1995-07-26 1996-10-01 International Business Machines Corporation Self-timed driver circuit
US20050036363A1 (en) * 1996-05-24 2005-02-17 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5748547A (en) * 1996-05-24 1998-05-05 Shau; Jeng-Jye High performance semiconductor memory devices having multiple dimension bit lines
JP3075184B2 (ja) * 1996-08-02 2000-08-07 日本電気株式会社 演算処理機能付主記憶システム及びその制御方法
JPH1064257A (ja) * 1996-08-20 1998-03-06 Sony Corp 半導体記憶装置
US6081136A (en) * 1997-12-19 2000-06-27 Advanced Micro Devices, Inc. Dynamic NOR gates for NAND decode
US6262937B1 (en) 1998-03-13 2001-07-17 Cypress Semiconductor Corp. Synchronous random access memory having a read/write address bus and process for writing to and reading from the same
US6262936B1 (en) 1998-03-13 2001-07-17 Cypress Semiconductor Corp. Random access memory having independent read port and write port and process for writing to and reading from the same
US6069839A (en) 1998-03-20 2000-05-30 Cypress Semiconductor Corp. Circuit and method for implementing single-cycle read/write operation(s), and random access memory including the circuit and/or practicing the method
US7341765B2 (en) * 2004-01-27 2008-03-11 Battelle Energy Alliance, Llc Metallic coatings on silicon substrates, and methods of forming metallic coatings on silicon substrates
TWI229871B (en) * 2004-05-14 2005-03-21 Novatek Microelectronics Corp Apparatus and method for reprogramming by using one-time programming element
US9158667B2 (en) 2013-03-04 2015-10-13 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US8964496B2 (en) 2013-07-26 2015-02-24 Micron Technology, Inc. Apparatuses and methods for performing compare operations using sensing circuitry
US8971124B1 (en) 2013-08-08 2015-03-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9153305B2 (en) 2013-08-30 2015-10-06 Micron Technology, Inc. Independently addressable memory array address spaces
US9019785B2 (en) 2013-09-19 2015-04-28 Micron Technology, Inc. Data shifting via a number of isolation devices
US9449675B2 (en) 2013-10-31 2016-09-20 Micron Technology, Inc. Apparatuses and methods for identifying an extremum value stored in an array of memory cells
US9430191B2 (en) 2013-11-08 2016-08-30 Micron Technology, Inc. Division operations for memory
US9934856B2 (en) 2014-03-31 2018-04-03 Micron Technology, Inc. Apparatuses and methods for comparing data patterns in memory
US9711206B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9496023B2 (en) 2014-06-05 2016-11-15 Micron Technology, Inc. Comparison operations on logical representations of values in memory
US9711207B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9449674B2 (en) 2014-06-05 2016-09-20 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9830999B2 (en) 2014-06-05 2017-11-28 Micron Technology, Inc. Comparison operations in memory
US10074407B2 (en) 2014-06-05 2018-09-11 Micron Technology, Inc. Apparatuses and methods for performing invert operations using sensing circuitry
US9779019B2 (en) 2014-06-05 2017-10-03 Micron Technology, Inc. Data storage layout
US9704540B2 (en) 2014-06-05 2017-07-11 Micron Technology, Inc. Apparatuses and methods for parity determination using sensing circuitry
US9455020B2 (en) 2014-06-05 2016-09-27 Micron Technology, Inc. Apparatuses and methods for performing an exclusive or operation using sensing circuitry
US9910787B2 (en) 2014-06-05 2018-03-06 Micron Technology, Inc. Virtual address table
US9786335B2 (en) 2014-06-05 2017-10-10 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US10068652B2 (en) 2014-09-03 2018-09-04 Micron Technology, Inc. Apparatuses and methods for determining population count
US9740607B2 (en) 2014-09-03 2017-08-22 Micron Technology, Inc. Swap operations in memory
US9589602B2 (en) 2014-09-03 2017-03-07 Micron Technology, Inc. Comparison operations in memory
US9847110B2 (en) 2014-09-03 2017-12-19 Micron Technology, Inc. Apparatuses and methods for storing a data value in multiple columns of an array corresponding to digits of a vector
US9747961B2 (en) 2014-09-03 2017-08-29 Micron Technology, Inc. Division operations in memory
US9904515B2 (en) 2014-09-03 2018-02-27 Micron Technology, Inc. Multiplication operations in memory
US9898252B2 (en) 2014-09-03 2018-02-20 Micron Technology, Inc. Multiplication operations in memory
US9836218B2 (en) 2014-10-03 2017-12-05 Micron Technology, Inc. Computing reduction and prefix sum operations in memory
US9940026B2 (en) 2014-10-03 2018-04-10 Micron Technology, Inc. Multidimensional contiguous memory allocation
US10163467B2 (en) 2014-10-16 2018-12-25 Micron Technology, Inc. Multiple endianness compatibility
US10147480B2 (en) 2014-10-24 2018-12-04 Micron Technology, Inc. Sort operation in memory
US9779784B2 (en) 2014-10-29 2017-10-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9747960B2 (en) 2014-12-01 2017-08-29 Micron Technology, Inc. Apparatuses and methods for converting a mask to an index
US10073635B2 (en) 2014-12-01 2018-09-11 Micron Technology, Inc. Multiple endianness compatibility
US10061590B2 (en) 2015-01-07 2018-08-28 Micron Technology, Inc. Generating and executing a control flow
US10032493B2 (en) 2015-01-07 2018-07-24 Micron Technology, Inc. Longest element length determination in memory
US9583163B2 (en) 2015-02-03 2017-02-28 Micron Technology, Inc. Loop structure for operations in memory
WO2016126472A1 (en) 2015-02-06 2016-08-11 Micron Technology, Inc. Apparatuses and methods for scatter and gather
WO2016126474A1 (en) 2015-02-06 2016-08-11 Micron Technology, Inc. Apparatuses and methods for parallel writing to multiple memory device locations
CN107408404B (zh) 2015-02-06 2021-02-12 美光科技公司 用于存储器装置的设备及方法以作为程序指令的存储
WO2016144724A1 (en) 2015-03-10 2016-09-15 Micron Technology, Inc. Apparatuses and methods for shift decisions
US9898253B2 (en) 2015-03-11 2018-02-20 Micron Technology, Inc. Division operations on variable length elements in memory
US9741399B2 (en) 2015-03-11 2017-08-22 Micron Technology, Inc. Data shift by elements of a vector in memory
WO2016144726A1 (en) 2015-03-12 2016-09-15 Micron Technology, Inc. Apparatuses and methods for data movement
US10146537B2 (en) 2015-03-13 2018-12-04 Micron Technology, Inc. Vector population count determination in memory
US10049054B2 (en) 2015-04-01 2018-08-14 Micron Technology, Inc. Virtual register file
US10140104B2 (en) 2015-04-14 2018-11-27 Micron Technology, Inc. Target architecture determination
US9959923B2 (en) 2015-04-16 2018-05-01 Micron Technology, Inc. Apparatuses and methods to reverse data stored in memory
US10073786B2 (en) 2015-05-28 2018-09-11 Micron Technology, Inc. Apparatuses and methods for compute enabled cache
US9704541B2 (en) 2015-06-12 2017-07-11 Micron Technology, Inc. Simulating access lines
US9921777B2 (en) 2015-06-22 2018-03-20 Micron Technology, Inc. Apparatuses and methods for data transfer from sensing circuitry to a controller
US9996479B2 (en) 2015-08-17 2018-06-12 Micron Technology, Inc. Encryption of executables in computational memory
US9905276B2 (en) 2015-12-21 2018-02-27 Micron Technology, Inc. Control of sensing components in association with performing operations
US9952925B2 (en) 2016-01-06 2018-04-24 Micron Technology, Inc. Error code calculation on sensing circuitry
US10048888B2 (en) 2016-02-10 2018-08-14 Micron Technology, Inc. Apparatuses and methods for partitioned parallel data movement
US9892767B2 (en) 2016-02-12 2018-02-13 Micron Technology, Inc. Data gathering in memory
US9971541B2 (en) 2016-02-17 2018-05-15 Micron Technology, Inc. Apparatuses and methods for data movement
US9899070B2 (en) 2016-02-19 2018-02-20 Micron Technology, Inc. Modified decode for corner turn
US10956439B2 (en) 2016-02-19 2021-03-23 Micron Technology, Inc. Data transfer with a bit vector operation device
US9697876B1 (en) 2016-03-01 2017-07-04 Micron Technology, Inc. Vertical bit vector shift in memory
US9997232B2 (en) 2016-03-10 2018-06-12 Micron Technology, Inc. Processing in memory (PIM) capable memory device having sensing circuitry performing logic operations
US10262721B2 (en) 2016-03-10 2019-04-16 Micron Technology, Inc. Apparatuses and methods for cache invalidate
US10379772B2 (en) 2016-03-16 2019-08-13 Micron Technology, Inc. Apparatuses and methods for operations using compressed and decompressed data
US9910637B2 (en) 2016-03-17 2018-03-06 Micron Technology, Inc. Signed division in memory
US10120740B2 (en) 2016-03-22 2018-11-06 Micron Technology, Inc. Apparatus and methods for debugging on a memory device
US11074988B2 (en) 2016-03-22 2021-07-27 Micron Technology, Inc. Apparatus and methods for debugging on a host and memory device
US10388393B2 (en) 2016-03-22 2019-08-20 Micron Technology, Inc. Apparatus and methods for debugging on a host and memory device
US10474581B2 (en) 2016-03-25 2019-11-12 Micron Technology, Inc. Apparatuses and methods for cache operations
US10977033B2 (en) 2016-03-25 2021-04-13 Micron Technology, Inc. Mask patterns generated in memory from seed vectors
US10430244B2 (en) 2016-03-28 2019-10-01 Micron Technology, Inc. Apparatuses and methods to determine timing of operations
US10074416B2 (en) 2016-03-28 2018-09-11 Micron Technology, Inc. Apparatuses and methods for data movement
US10453502B2 (en) 2016-04-04 2019-10-22 Micron Technology, Inc. Memory bank power coordination including concurrently performing a memory operation in a selected number of memory regions
US10607665B2 (en) 2016-04-07 2020-03-31 Micron Technology, Inc. Span mask generation
US9818459B2 (en) 2016-04-19 2017-11-14 Micron Technology, Inc. Invert operations using sensing circuitry
US9659605B1 (en) 2016-04-20 2017-05-23 Micron Technology, Inc. Apparatuses and methods for performing corner turn operations using sensing circuitry
US10153008B2 (en) 2016-04-20 2018-12-11 Micron Technology, Inc. Apparatuses and methods for performing corner turn operations using sensing circuitry
US10042608B2 (en) 2016-05-11 2018-08-07 Micron Technology, Inc. Signed division in memory
US9659610B1 (en) 2016-05-18 2017-05-23 Micron Technology, Inc. Apparatuses and methods for shifting data
US10049707B2 (en) 2016-06-03 2018-08-14 Micron Technology, Inc. Shifting data
US10387046B2 (en) 2016-06-22 2019-08-20 Micron Technology, Inc. Bank to bank data transfer
US10037785B2 (en) 2016-07-08 2018-07-31 Micron Technology, Inc. Scan chain operation in sensing circuitry
US10388360B2 (en) 2016-07-19 2019-08-20 Micron Technology, Inc. Utilization of data stored in an edge section of an array
US10733089B2 (en) 2016-07-20 2020-08-04 Micron Technology, Inc. Apparatuses and methods for write address tracking
US10387299B2 (en) 2016-07-20 2019-08-20 Micron Technology, Inc. Apparatuses and methods for transferring data
US9972367B2 (en) 2016-07-21 2018-05-15 Micron Technology, Inc. Shifting data in sensing circuitry
US9767864B1 (en) 2016-07-21 2017-09-19 Micron Technology, Inc. Apparatuses and methods for storing a data value in a sensing circuitry element
US10303632B2 (en) 2016-07-26 2019-05-28 Micron Technology, Inc. Accessing status information
US10468087B2 (en) 2016-07-28 2019-11-05 Micron Technology, Inc. Apparatuses and methods for operations in a self-refresh state
US9990181B2 (en) 2016-08-03 2018-06-05 Micron Technology, Inc. Apparatuses and methods for random number generation
US11029951B2 (en) 2016-08-15 2021-06-08 Micron Technology, Inc. Smallest or largest value element determination
US10606587B2 (en) 2016-08-24 2020-03-31 Micron Technology, Inc. Apparatus and methods related to microcode instructions indicating instruction types
US10466928B2 (en) 2016-09-15 2019-11-05 Micron Technology, Inc. Updating a register in memory
US10387058B2 (en) 2016-09-29 2019-08-20 Micron Technology, Inc. Apparatuses and methods to change data category values
US10014034B2 (en) 2016-10-06 2018-07-03 Micron Technology, Inc. Shifting data in sensing circuitry
US10529409B2 (en) 2016-10-13 2020-01-07 Micron Technology, Inc. Apparatuses and methods to perform logical operations using sensing circuitry
US9805772B1 (en) 2016-10-20 2017-10-31 Micron Technology, Inc. Apparatuses and methods to selectively perform logical operations
US10373666B2 (en) 2016-11-08 2019-08-06 Micron Technology, Inc. Apparatuses and methods for compute components formed over an array of memory cells
US10423353B2 (en) 2016-11-11 2019-09-24 Micron Technology, Inc. Apparatuses and methods for memory alignment
US9761300B1 (en) 2016-11-22 2017-09-12 Micron Technology, Inc. Data shift apparatuses and methods
US10402340B2 (en) 2017-02-21 2019-09-03 Micron Technology, Inc. Memory array page table walk
US10268389B2 (en) 2017-02-22 2019-04-23 Micron Technology, Inc. Apparatuses and methods for in-memory operations
US10403352B2 (en) 2017-02-22 2019-09-03 Micron Technology, Inc. Apparatuses and methods for compute in data path
US10838899B2 (en) 2017-03-21 2020-11-17 Micron Technology, Inc. Apparatuses and methods for in-memory data switching networks
US11222260B2 (en) 2017-03-22 2022-01-11 Micron Technology, Inc. Apparatuses and methods for operating neural networks
US10185674B2 (en) 2017-03-22 2019-01-22 Micron Technology, Inc. Apparatus and methods for in data path compute operations
US10049721B1 (en) 2017-03-27 2018-08-14 Micron Technology, Inc. Apparatuses and methods for in-memory operations
US10043570B1 (en) 2017-04-17 2018-08-07 Micron Technology, Inc. Signed element compare in memory
US10147467B2 (en) 2017-04-17 2018-12-04 Micron Technology, Inc. Element value comparison in memory
US9997212B1 (en) 2017-04-24 2018-06-12 Micron Technology, Inc. Accessing data in memory
US10942843B2 (en) 2017-04-25 2021-03-09 Micron Technology, Inc. Storing data elements of different lengths in respective adjacent rows or columns according to memory shapes
US10236038B2 (en) 2017-05-15 2019-03-19 Micron Technology, Inc. Bank to bank data transfer
US10068664B1 (en) 2017-05-19 2018-09-04 Micron Technology, Inc. Column repair in memory
US10013197B1 (en) 2017-06-01 2018-07-03 Micron Technology, Inc. Shift skip
US10262701B2 (en) 2017-06-07 2019-04-16 Micron Technology, Inc. Data transfer between subarrays in memory
US10152271B1 (en) 2017-06-07 2018-12-11 Micron Technology, Inc. Data replication
US10318168B2 (en) 2017-06-19 2019-06-11 Micron Technology, Inc. Apparatuses and methods for simultaneous in data path compute operations
US10162005B1 (en) 2017-08-09 2018-12-25 Micron Technology, Inc. Scan chain operations
US10534553B2 (en) 2017-08-30 2020-01-14 Micron Technology, Inc. Memory array accessibility
US10346092B2 (en) 2017-08-31 2019-07-09 Micron Technology, Inc. Apparatuses and methods for in-memory operations using timing circuitry
US10416927B2 (en) 2017-08-31 2019-09-17 Micron Technology, Inc. Processing in memory
US10741239B2 (en) 2017-08-31 2020-08-11 Micron Technology, Inc. Processing in memory device including a row address strobe manager
US10409739B2 (en) 2017-10-24 2019-09-10 Micron Technology, Inc. Command selection policy
US10522210B2 (en) 2017-12-14 2019-12-31 Micron Technology, Inc. Apparatuses and methods for subarray addressing
US10332586B1 (en) 2017-12-19 2019-06-25 Micron Technology, Inc. Apparatuses and methods for subrow addressing
US10614875B2 (en) 2018-01-30 2020-04-07 Micron Technology, Inc. Logical operations using memory cells
US11194477B2 (en) 2018-01-31 2021-12-07 Micron Technology, Inc. Determination of a match between data values stored by three or more arrays
US10437557B2 (en) 2018-01-31 2019-10-08 Micron Technology, Inc. Determination of a match between data values stored by several arrays
US10725696B2 (en) 2018-04-12 2020-07-28 Micron Technology, Inc. Command selection policy with read priority
US10440341B1 (en) 2018-06-07 2019-10-08 Micron Technology, Inc. Image processor formed in an array of memory cells
US10650906B2 (en) * 2018-08-09 2020-05-12 Synopsys, Inc. Memory bypass function for a memory
US11175915B2 (en) 2018-10-10 2021-11-16 Micron Technology, Inc. Vector registers implemented in memory
US10769071B2 (en) 2018-10-10 2020-09-08 Micron Technology, Inc. Coherent memory access
US10483978B1 (en) 2018-10-16 2019-11-19 Micron Technology, Inc. Memory device processing
US11184446B2 (en) 2018-12-05 2021-11-23 Micron Technology, Inc. Methods and apparatus for incentivizing participation in fog networks
US10867655B1 (en) 2019-07-08 2020-12-15 Micron Technology, Inc. Methods and apparatus for dynamically adjusting performance of partitioned memory
US11360768B2 (en) 2019-08-14 2022-06-14 Micron Technolgy, Inc. Bit string operations in memory
US11087834B2 (en) * 2019-08-29 2021-08-10 Arm Limited Read and write techniques
US11449577B2 (en) 2019-11-20 2022-09-20 Micron Technology, Inc. Methods and apparatus for performing video processing matrix operations within a memory array
US11853385B2 (en) 2019-12-05 2023-12-26 Micron Technology, Inc. Methods and apparatus for performing diversity matrix operations within a memory array
US11227641B1 (en) 2020-07-21 2022-01-18 Micron Technology, Inc. Arithmetic operations in memory

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3787817A (en) * 1972-06-21 1974-01-22 Us Navy Memory and logic module
JPS559742B2 (ja) * 1974-06-20 1980-03-12
JPS559742A (en) * 1978-07-07 1980-01-23 Iseki Agricult Mach Cutting portion structure in combined harvester
JPS5856198B2 (ja) * 1980-09-25 1983-12-13 株式会社東芝 半導体記憶装置
JPS5884445A (ja) * 1981-11-16 1983-05-20 Hitachi Ltd 大規模集積回路
US4524430A (en) * 1983-01-11 1985-06-18 Burroughs Corporation Dynamic data re-programmable PLA
US4527081A (en) * 1983-02-11 1985-07-02 The United States Of America As Represented By The Scretary Of The Army Overshoot predriven semi-asynchronous driver
DE3587882T2 (de) * 1984-05-20 1994-11-24 Hitachi Ltd Speichereinheit mit arithmetischen und logischen Funktionen, insbesondere für graphische Datenverarbeitung.
JPS6187194A (ja) * 1984-10-05 1986-05-02 株式会社日立製作所 記憶回路
US4814646A (en) * 1985-03-22 1989-03-21 Monolithic Memories, Inc. Programmable logic array using emitter-coupled logic
JPH0787032B2 (ja) * 1985-07-08 1995-09-20 日本電気アイシ−マイコンシステム株式会社 半導体記憶装置
JPH0748299B2 (ja) * 1986-09-24 1995-05-24 日立超エル・エス・アイエンジニアリング株式会社 半導体記憶装置
US4807189A (en) * 1987-08-05 1989-02-21 Texas Instruments Incorporated Read/write memory having a multiple column select mode
US4825410A (en) * 1987-10-26 1989-04-25 International Business Machines Corporation Sense amplifier control circuit

Also Published As

Publication number Publication date
GB8927204D0 (en) 1990-01-31
GB2225657B (en) 1992-07-01
JPH02214090A (ja) 1990-08-27
US5023838A (en) 1991-06-11
GB2225657A (en) 1990-06-06

Similar Documents

Publication Publication Date Title
JP2897886B2 (ja) ランダム・アクセス・メモリー装置
US4633441A (en) Dual port memory circuit
US5155705A (en) Semiconductor memory device having flash write function
US5568431A (en) Memory architecture and devices, systems and methods utilizing the same
US4412313A (en) Random access memory system having high-speed serial data paths
US4569036A (en) Semiconductor dynamic memory device
JP3186534B2 (ja) 相対バンクメモリをリフレッシュする方法及び回路
JPH061450B2 (ja) 記憶装置
WO1987002819A2 (en) Architecture for a fast frame store using dynamic rams
US5097447A (en) Semiconductor memory device having a serial access memory
US5528551A (en) Read/write memory with plural memory cell write capability at a selected row address
US4879685A (en) Semiconductor memory device with internal array transfer capability
US5185719A (en) High speed dynamic, random access memory with extended reset/precharge time
EP0809230A2 (en) Display controller with internal half frame buffer and systems and methods using the same
US4837746A (en) Method and apparatus for resetting a video SRAM
JPH05274862A (ja) 半導体メモリ装置
US6229759B1 (en) Semiconductor memory burst length count determination method
US7133992B2 (en) Burst counter controller and method in a memory device operable in a 2-bit prefetch mode
JP2605659B2 (ja) 半導体記憶装置
US5523981A (en) Semiconductor memory device
US5909401A (en) Sensing circuitry with boolean logic
KR970005411B1 (ko) 선택적인 행 기입 능력을 가진 판독/기입 메모리 및 이러한 메모리에 테이타를 기입하는 방법
JP3020577B2 (ja) 半導体記憶装置
JPS60211692A (ja) 半導体記憶装置
EP0468135A2 (en) A high speed dynamic, random access memory with extended reset/precharge time

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

S631 Written request for registration of reclamation of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313631

S633 Written request for registration of reclamation of name

Free format text: JAPANESE INTERMEDIATE CODE: R313633

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080312

Year of fee payment: 9

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080312

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090312

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees