JP2023521500A - ダイ間接続性監視 - Google Patents

ダイ間接続性監視 Download PDF

Info

Publication number
JP2023521500A
JP2023521500A JP2022563081A JP2022563081A JP2023521500A JP 2023521500 A JP2023521500 A JP 2023521500A JP 2022563081 A JP2022563081 A JP 2022563081A JP 2022563081 A JP2022563081 A JP 2022563081A JP 2023521500 A JP2023521500 A JP 2023521500A
Authority
JP
Japan
Prior art keywords
signal
sensor
input
clock
data signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022563081A
Other languages
English (en)
Other versions
JPWO2021214562A5 (ja
Inventor
ファイネ,エヤル
レッドラー,ガイ
ランドマン,エヴリン
コーヘン,シャイ
ラハミム,シャケッド
カジン,アレックス
Original Assignee
プロテアンテックス リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by プロテアンテックス リミテッド filed Critical プロテアンテックス リミテッド
Priority claimed from PCT/IB2021/051725 external-priority patent/WO2021214562A1/en
Publication of JP2023521500A publication Critical patent/JP2023521500A/ja
Publication of JPWO2021214562A5 publication Critical patent/JPWO2021214562A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31725Timing aspects, e.g. clock distribution, skew, propagation delay
    • G01R31/31726Synchronization, e.g. of test, clock or strobe signals; Signals in different clock domains; Generation of Vernier signals; Comparison and adjustment of the signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2853Electrical testing of internal connections or -isolation, e.g. latch-up or chip-to-lead connections
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2896Testing of IC packages; Test features related to IC packages
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3173Marginal testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318314Tools, e.g. program interfaces, test suite, test bench, simulation hardware, test compiler, test program languages
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/3193Tester hardware, i.e. output processing circuits with comparison between actual response and known fault free response
    • G01R31/31937Timing aspects, e.g. measuring propagation delay
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/003Modifications for increasing the reliability for protection
    • H03K19/00323Delay compensation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Multi Processors (AREA)

Abstract

マルチICモジュール用の入力/出力(I/O)センサ。I/Oセンサは、マルチICモジュールのICの相互接続された部分からデータ信号を受信するように、かつ遅延データ信号を生成するように構成された遅延回路であって、設定された持続時間だけ入力信号を遅延させるように構成された調整可能な遅延線を備える遅延回路と、データ信号を遅延データ信号と比較することによって、比較信号を生成するように構成された比較回路と、調整可能な遅延線の持続時間を設定するように、かつ、比較信号に基づいて、相互接続品質パラメータを判定するために、データ信号のマージン測定値を識別するように構成された処理ロジックと、を備える。【選択図】図10

Description

関連出願の相互参照
本出願は、2020年4月20日に出願された「Die-to-Die(Tile)Connectivity Degradation Monitoring Based On Eye Measurement」と題された米国仮特許出願第63/012,457号の優先権の利益を主張し、その内容は参照により、本明細書に組み込まれている。
本発明は、集積回路の分野に関する。
集積回路(IC)は、典型的には、シリコンウェーハなどの平坦な半導体基板上のアナログ及びデジタル電子回路を含む。フォトリソグラフィ技術を使用して微細なトランジスタを基板に印刷し、非常に小さな領域に数十億個のトランジスタの複雑な回路を作成し、ICを使用した最新の電子回路設計を低コストと高性能の両方で実現する。ICは、ファウンドリと呼ばれる工場の組み立てラインで生産され、そこでは相補型金属酸化膜半導体(CMOS)ICなどのICの生成を共用化している。
ICは、典型的には、電子グレードシリコン(EGS)又は他の半導体(GaAsなど)の単一のウェーハ上に大量のバッチで製造される。ウェーハは、多くのピースにカットされ(さいの目に切られ)、各々が回路の1つのコピーを含む。これらのピースの各々は、「ダイ」と呼ばれる。
デジタルICは、典型的には、金属、プラスチック、ガラス、又はセラミックのケーシングにパッケージされている。ケーシング、又は、パッケージは、はんだを使用するなどして、回路基板に接続される。パッケージのタイプには、ICパッドと回路基板との間を接続するための、リードフレーム(スルーホール、表面実装、チップキャリアなど)、ピングリッドアレイ、チップスケールパッケージ、ボールグリッドアレイなどが含まれる。
いくつかの最新のICは、実際には、連携するように構成されている複数の相互接続されたIC(「チップ」又は「チップレット」と称されることもある)で構成されたモジュールである。典型的な例は、メモリICと相互接続されたロジックICであるが、他にも多くのタイプが存在する。また、多くのダイ間(つまり、IC間)接続性テクノロジが存在する。一例として、例えば、Taiwan Semiconductor Manufacturing Company(TSMC),Limitedによって販売されているもののような、再配線層(RDL)と統合ファンアウトビア(TIV)に基づく高密度接続性を特徴とするウェーハレベルの統合が挙げられる。別の例は、例えば、TSMC Limitedによって販売されているChip on Wafer on Substrate(CoWoS)テクノロジ及びIntel Corporationによって販売されているEmbedded Interconnect Bridge(EMIB)テクノロジなどの、シリコンインターポーザ上のマイクロバンプを介して結合された個々のチップを特徴とするシステムレベルの統合である。両方とも、高帯域幅メモリ(HBM)サブシステムを有効にする。第3の例は、例えば、TSMC Limitedによって販売されているChip on Wafer(CoW)及びWafer on Wafer(WoW)技術などのシリコン貫通ビア(TSV)に基づく3次元(3D)チップスタッキング技術である。
関連技術の前述の例及びそれに関連する制限は、例示的であり、排他的ではないことを意図している。関連技術の他の制限は、本明細書を読み、図を検討することにより、当業者に明らかになるであろう。
以下の実施形態及びその態様は、範囲を限定するものではなく、例示的かつ実例的であることを意図するシステム、ツール、及び方法と併せて説明及び図示される。
一実施形態では、マルチIC(集積回路)モジュール用の入力/出力(I/O)センサが提供される。I/Oセンサは、マルチICモジュールのICの相互接続された部分からデータ信号を受信し、遅延データ信号を生成するように構成された遅延回路であって、設定された持続時間だけ入力信号を遅延させるように構成された調整可能な遅延線を備える遅延回路と、データ信号を遅延データ信号と比較することによって、比較信号を生成するように構成された比較回路と、調整可能な遅延線の持続時間を設定するように、かつ、比較信号に基づいて、相互接続品質パラメータを判定するために、データ信号のマージン測定値を識別するように構成された処理ロジックと、を備える。
実施形態では、処理ロジックは、複数の持続時間の各々について、調整可能な遅延線をそれぞれの持続時間に設定するように、かつそれぞれの持続時間の比較信号がパス又はフェイル状態のいずれを示すかを判定し、比較信号がフェイル状態を示す複数の持続時間から最小持続時間を識別するように構成されている。
実施形態では、処理ロジックは、複数の測定サイクルの各々について、調整可能な遅延線を複数の持続時間の各々に設定すること、及び最小持続時間を識別することを繰り返すように構成されており、処理ロジックは、複数の測定サイクルにわたる最低の最小持続時間、複数の測定サイクルにわたる最高の最小持続時間、及び複数の測定サイクルにわたる最小持続時間の合計のうちの1つ以上を判定するように更に構成されている。
実施形態では、相互接続品質パラメータは、アイパターンパラメータ、マイクロバンプ抵抗パラメータ、体系的な効果パラメータ、及び差動信号の対称性を示すパラメータのうちの1つ以上を含む。
実施形態では、マージン測定値は、クロック立ち上がりエッジまでのデータ信号セットアップ時間、クロック立ち下がりエッジまでのデータ信号セットアップ時間、クロック立ち上がりエッジまでのデータ信号ホールド時間、及びクロック立ち下がりエッジまでのデータ信号ホールド時間のうちの1つ以上を含む。
実施形態では、比較回路は、XORゲートを備える。実施形態では、調整可能な遅延線は、持続時間に対して少なくとも1psの分解能及び/又は少なくとも16の構成を有する。
実施形態では、データ信号は、入力信号として調整可能な遅延線に提供され、データ信号及び遅延データ信号は、クロック信号に従ってサンプリングされる。実施形態では、データ信号は、クロック信号に従ってサンプリングされ、クロック信号は、入力信号として調整可能な遅延線に提供されて、遅延クロック信号を提供し、遅延データ信号は、遅延クロック信号に従ってサンプリングされたデータ信号である。
実施形態では、遅延回路は、第1の状態要素入力信号を受信するように、かつ、第1の状態要素入力信号及び第1のクロック入力に基づいて、第1の状態要素出力を提供するように構成された第1の状態要素と、第2の状態要素入力信号を受信するように、かつ、第2の状態要素入力信号及び第2のクロック入力に基づいて、第2の状態要素出力を提供するように構成された第2の状態要素と、選択的に、(i)データ信号を入力信号として調整可能な遅延線に適用し、調整可能な遅延線の出力が、第1の状態要素入力信号として提供され、データ信号が、第2の状態要素入力信号として提供され、クロック信号が、第1及び第2のクロック入力として提供されるか、又は(ii)クロック信号を入力信号として調整可能な遅延線に適用し、調整可能な遅延線の出力が、第1のクロック入力として提供され、データ信号が、第1及び第2の状態要素入力信号として提供され、クロック信号が、第2のクロック入力として提供されるように構成された多重化配置と、を更に備える。
実施形態では、多重化配置は、クロック信号として、(a)正クロック信号、又は(b)反転された正のクロック信号である負クロック信号を選択的に適用するように更に構成されている。
実施形態によれば、複数のI/Oセンサを備える、入力/出力(I/O)ブロックであって、各I/Oセンサが、本明細書に開示されたようであり、マルチICモジュールのICの相互接続された部分からそれぞれ異なるデータ信号を受信するように構成されている、I/Oブロックが提供される。
実施形態では、複数のI/Oセンサの各々の処理ロジックは、全てのI/Oセンサに共通である制御ブロックに位置する。
実施形態では、各I/Oセンサは、マルチICモジュールのICの相互接続された部分の異なるピンからそれぞれのデータ信号を受信し、他のモジュールと並行して、それぞれのピンのマージン測定値を識別するように構成されている。
実施形態によれば、マルチICモジュール用の入力/出力(I/O)ブロックが提供され、I/Oブロックは、半導体ICの相互接続された部分から電圧信号を受信し、受信バッファ出力を提供するように構成された受信バッファと、本明細書で開示されるI/Oセンサと、を備え、受信バッファ出力は、データ信号入力として遅延回路に提供される。
実施形態では、I/Oセンサは、クロック信号入力を受信するように更に構成されており、受信電圧信号に関連付けられたクロック信号が、クロック信号入力として提供される。
実施形態では、受信バッファは、第1の受信バッファであり、半導体ICの第1の相互接続された部分から第1の電圧信号を受信し、第1の受信バッファ出力を提供するように構成されており、I/Oセンサは、クロック信号入力を受信するように更に構成されており、I/Oブロックは、半導体ICの第2の相互接続された部分から第2の電圧信号を受信し、第2の受信バッファ出力を提供するように構成されている、第2の受信バッファを更に備え、遅延が適用された第2の受信バッファ出力は、クロック信号入力として提供される。
実施形態では、I/Oセンサは、第1のI/Oセンサであり、クロック信号入力は、第1のクロック信号入力であり、I/Oブロックは、本明細書で開示される第2のI/Oセンサを更に備え、第2の受信バッファ出力は、データ信号入力として遅延回路に提供され、第2のI/Oセンサは、第2のクロック信号入力を受信するように更に構成されており、遅延が適用された第1の受信バッファ出力は、第2のクロック信号入力として提供される。
実施形態では、I/Oブロックは、(a)第1の受信バッファ出力をデータ信号入力としてI/Oセンサに、かつ遅延が適用された第2の受信バッファ出力をクロック信号入力としてI/Oセンサに適用するか、又は、データ信号が、第2の状態要素入力信号として提供され、クロック信号が、第1及び第2のクロック入力として提供されるか、又は(b)第2の受信バッファ出力をデータ信号入力としてI/Oセンサに、かつ遅延が適用された第1の受信バッファ出力をクロック信号入力としてI/Oセンサに適用するように構成された多重化配置を更に備える。
実施形態では、ICの第1及び第2の相互接続された部分は、差動チャネルを形成する。
実施形態では、I/Oブロックは、マルチICモジュールのIC上に位置し、識別されたマージン測定値を格納するために、かつ/又は、相互接続品質パラメータを判定するために外部プロセッサとインターフェースするように構成されている。
実施形態によれば、複数のI/Oブロックを備える入力/出力(I/O)監視システムが提供され、各I/Oブロックは、本明細書に開示されている通りであり、複数のI/Oブロックの全ては、共通のI/Oコントローラによって制御される。
実施形態によれば、本明細書に記載の入力/出力(I/O)ブロックか、又は本明細書に記載の入力/出力(I/O)監視システムを備えるマルチICモジュールのICが提供される。
いくつかの実施形態では、コンピュータ可読媒体であって、命令がプロセッサによって実施されるときに、本明細書に開示される方法の実施形態のいずれかを実行するための、記憶された命令を有する、コンピュータ可読媒体を考慮し得る。
上記の例示的な態様及び実施形態に加えて、更なる態様及び実施形態は、図を参照し、以下の詳細な説明を検討することによって明らかになるであろう。当業者であれば、明示的に記載されずとも、本明細書に開示される具体的な特徴の組み合わせ及び部分的組み合わせも提供され得ることを理解するであろう。
例示的な実施形態を参照図に示す。図に示されている構成要素及び特徴の寸法は、概して、提示上の便宜及び明確さのために選択されたものであり、必ずしも縮尺どおりに示されているわけではない。図を以下に列挙する。
本開示によるダイ間接続性監視システムの概略ブロック図を示す。 ダイ間接続性監視システムにおいて使用するための入力/出力(I/O)ブロックの概略ブロック図を示す。 I/Oブロックの一部を形成するI/Oセンサの概略回路図を示す。 本開示によるセットアップ時間に関してマージンパラメータを監視するための波形タイミング図を示す。 本開示によるホールド時間に関してマージンパラメータを監視するための波形タイミング図を示す。 測定サイクルにわたるダイ間接続性監視システムの動作の波形タイミング図を示す。 図3によるI/Oセンサの起動及びリセットの波形タイミング図を示す。 I/Oブロックの一部を形成するI/Oブロックコントローラの概略ブロック図を示す。 自動テストパターン生成(ATPG)モード及びセンサリセット用に構成された、図8のI/Oブロックコントローラの一部の概略回路図を示す。 双方向又は受信専用相互接続信号チャネルの劣化を監視するための本開示によるI/Oセンサの実装形態の概略回路図を示す。 送信専用信号相互接続チャネルの劣化を監視するためのI/Oセンサの実装形態の概略回路図を示す。 送信専用信号相互接続チャネルの劣化監視における3つのシナリオの波形タイミングを示す。 差動相互接続受信チャネルの劣化を監視するためのI/Oセンサの実装形態の概略回路図を示す。 差動相互接続送信チャネルの劣化を監視するためのI/Oセンサの実装形態の概略回路図を示す。
本明細書に開示されるのは、入力/出力(I/O)マージン及び/若しくはアイパターンパラメータ及び/若しくはマルチICモジュール(「マルチチップモジュール」と称される場合もある)の完全性及び/若しくは劣化を測定し、かつ/又は推定し、かつ/又はそのようなマルチICモジュールの電力及び/若しくは性能を最適化するためのデバイス、システム、及び方法である。
本明細書で言及される「マルチICモジュール」という用語は、統合されて一緒にパッケージ化され、特定の結合機能を達成するためにこの相互接続を通じて協働するように構成された、相互接続されたICのグループを説明し得る。モジュールにおけるICは、例えば、相互接続バスを介して互いに通信し得る。それらの物理的な統合は、水平、垂直、又はその両方であり得る。
本開示が関連するマルチICモジュールは、IC間の直接接続、又は特定のインターポーザ、基板、回路基板などの媒介を介した間接接続のいずれかを提供する既知の又は後に導入される統合技術によって構成され得る。マルチICモジュールでは、様々な統合ICペア間の直接接続と間接接続の両方を使用することもできる。今日のマルチICモジュール統合技術の例には、Chip on Wafer on Substrate(CoWoS)、Wafer on Wafer(WoW)、Chip On Wafer(CoW)、3D ICなどが含まれる。しかし、本発明の実施形態は、ダイ間(IC間)接続性を特徴とする他のタイプのマルチICモジュールにも確かに有益である。
開示されたデバイス、システム、及び方法は、ダイ間接続性監視、特に、高速ダイ間相互接続の接続性の品質を判定することを含む。このような相互接続は、幅の広いバス及び/又は低電力(ピコジュール/ビット)を実装し得る。品質の問題は、開回路、短絡、ブリッジ短絡(信号間)、受信機側のマイクロバンプ抵抗の劣化、及び/又は送信機側のマイクロバンプ抵抗の劣化の原因となる可能性がある。この場合、受信機での信号のタイミングが変化することが予想され、その変化は、監視システムによって検出される。この監視のタイミング効果及び電力効果は最小限である。
HBM3(High Bandwidth Memory 3)は、様々なタイプのレーン(双方向レーン、受信専用レーン、送信専用レーン、差動レーン)を実装するダイ間接続性の1つのタイプである。本明細書で考察されるように、これらのレーンタイプの各々は、異なる方法で監視され得る。
マージンは、信号間のタイミング差を調べることによって測定する必要はないが、代わりに、受信されたデータ信号を、所定の時間遅延を提供するように設定された調整可能な遅延線を使用して遅延させたデータ信号と比較することによって、測定する。比較結果がパスの場合、マージンは、データ信号に適用される遅延よりも大きくなる。比較がフェイルになるデータ信号に適用される最小遅延は、マージンと見なされ得る。このように調整可能な遅延線を使用することは、マージン測定で高分解能が実現されることを可能にする。既存の直接時間測定は、相対的に乏しい分解能、例えば、約1バッファの遅延を有し、これは、技術に依存し、10ピコ秒(ps)の範囲になる可能性がある。対照的に、約2ps(バッファ遅延の分数)の分解能は、本開示による技法を使用して達成され得る。
主要な用途は、特性評価のためのアイ測定であり、特に、ピン又はレーンごとのフェイルに対するマージン又は性能を測定する。入力/出力(I/O)センサは、各レーンの受信機ごとに位置する。調整可能な遅延線の遅延は、フェイルが検出されるまで徐々にシフトされる(少しずつ調整される)。I/Oセンサは、受信機側でアイ開口部を完全に特徴付けるために、4つのモード用に構成され得る。劣化を監視するために、調整可能な遅延線の位置は、格納され得、かつ進行中の寿命測定値と比較され得る。アイ幅の特性評価では、遅延線の位置が、フェイルに対するセットアップ時間を測定するために使用され得る。
一般的には、半導体ICのためのI/Oセンサと見なされ得る。I/Oセンサは、半導体ICの相互接続された部分(例えば、マルチICモジュール内の1つのICのピン又はレーン)からデータ信号を受信し、遅延データ信号を生成するように構成された遅延回路を備える。遅延回路は、設定された持続時間だけ入力信号を遅延させるように構成された調整可能な遅延線を備える(ただし、以下で考察されるように、遅延データ信号は、調整可能な遅延線の出力である必要はない)。I/Oセンサは、データ信号を遅延データ信号と比較することによって、比較信号を生成するように構成された比較回路を更に備える。更に、調整可能な遅延線の持続時間を設定し、比較信号に基づいて、相互接続品質パラメータを判定するために、データ信号のマージン測定値を識別するように構成された処理ロジックが提供される。この一般的な意味によれば、I/Oセンサを提供及び/又は構成する対応するステップを含む、I/Oセンサを製造し、かつ/又は動作させる方法もまた、適宜提供され得る。
処理ロジックは、調整可能な遅延線を複数の持続時間の各々に設定するように、かつ、設定された持続時間ごとに、それぞれの持続時間の比較信号がパス又はフェイル状態のいずれを示すかを判定するように構成され得る。次いで、処理ロジックは、比較信号がフェイル状態を示す複数の持続時間から、これらの持続時間の最小値を識別するように構成され得る。この最小値は、マージン測定値に対応し得る。
マージン測定は、クロック立ち上がりエッジまでのデータ信号セットアップ時間、クロック立ち下がりエッジまでのデータ信号セットアップ時間、クロック立ち上がりエッジまでのデータ信号ホールド時間、及びクロック立ち下がりエッジまでのデータ信号ホールド時間のうちの1つ以上を含み得る。同じ相互接続(ピン又はレーン)に対して複数のマージン測定値が判定され得、各々は、ここにリストされているものの異なるマージンに対するものであり得る。
相互接続品質パラメータは、例えば、アイパターンパラメータ、マイクロバンプ抵抗パラメータ、体系的な効果パラメータ、及び差動信号の対称性を示すパラメータのうちの1つ以上を含み得る。これらは、マージン測定値から、任意選択的に他の要因とともに判定され得る。
ここで、他の具体的な特徴を考察する。一般化された意味については、以下で更に考慮する。
図1を参照すると、これは特に3D ICに限定されないが、ダイ間接続性監視システムの概略ブロック図を示している。同じシステムを使用して、3D ICだけでなく、あらゆるタイプのダイ間接続を監視できる。2.5Dパッケージングでは、相互接続(レーン)は、互いに隣接するチップ、例えば、System on Chip(SoC)に位置するDRAMコントローラを、スタックされたDRAM(HBM3)に位置するDRAMに接続する。3Dパッケージングでは、相互接続(レーン)は、互いにスタックされたチップ、例えば、スタックの一番下に位置するDRAMコントローラ(第1のチップ)を、互いにスタックされたSRAMダイに接続する。他のパッケージタイプでは、接続性は、端に受信機を備えた線を駆動する送信機として説明でき、ダイ間の接続性監視システムは引き続き使用できる。ただし、システムは、領域の制限があり、システムが単一のI/Oセンサを使用して、複数の受信機に対応できるという点で、3D ICにとって有利である。
ダイ間接続性監視システムは、ピンごとにミッションモードで信頼性の問題を検出し、ピンごとに4つの測定モードでマージン特性評価の性能を可能にする。品質監視は、受信機での受信信号(Rx信号)タイミングの(進行中の)追跡に基づいている。監視は、最小限のタイミング及び電力ペナルティでレーンごとに実行される。考えられる品質の問題は、オープンピン、ショートピン、ブリッジ短絡(信号間)、受信機側のマイクロバンプ抵抗の劣化、及び/又は送信機側のマイクロバンプ抵抗の劣化に関連する可能性がある。
ダイ間接続性監視システムは、[k]個のI/Oブロックをサポートし、各ブロックは、[n]個のI/Oレーンをサポートする。I/Oレーンは、双方向(送受信を提供する)、受信専用、送信専用、及び/又は差動レーンであり得る。各ブロックの測定プロセスは、ブロック内に位置する専用コントローラ(Prtn_tca_block_controller)によって管理される。ブロックコントローラは、[n]個のI/Oセンサを制御する(レーン又はピンごとに1つ)。
構成データ及び制御信号は、ブロックコントローラからI/Oセンサに渡され、フェイル表示データは、各I/Oセンサからそれぞれのブロックコントローラに渡される。測定サイクルでは、全ての[n]個のI/Oセンサが並行して起動され、[n]個の各ピンのフェイルに対するマージンが測定される。測定サイクルの終わりに、コントローラは、一実施形態では6ビットのデジタル読み出しによって表される、各ピンのフェイルに対するマージンをホールドする。
全てのブロックコントローラは、中央のフルチップ(FC)コントローラ(prtn_tca_top_ctrl)によって制御される。FCコントローラは、ブロックコントローラ内の有限状態マシン(FSM)を起動するために使用される低周波数クロック(Prtn_clk)を生成する。低周波数クロック(Prtn_clk)信号は、Advanced Peripheral Bus(APB)クロックから生成される。周波数分割は、プログラム可能であり、APBクロックに関連している。FCコントローラは、全てのブロックコントローラに対して中央のアクティブローリセット信号(prtn_rst_n)も生成する。FCコントローラは、各ブロックコントローラからデータを収集し、シリアルバス経由で(例えば、APB、JTAG、I2Cを使用して)外部ソースにデータを送信する。
特性評価段階では、オフラインデータ分析システムが、外部ソースを介してマージンデータを受信し、このデータを使用して、ピンごとのアイ幅、ピンごとのアイ高さ、ピンごとのアイ幅に対するクロックデューティサイクルの影響、並びに/又はピンごとのアイ高さのジッタ振幅、ピンのグループごとの体系的な効果、及び差動信号の対称性のうちの1つ以上を測定又は計算する。
ミッションモードでは、オフラインデータ分析システムが、外部ソースを介してマージンデータを受信し、このデータを使用して、受信機側でのアイ幅の劣化及び/又はマイクロバンプ抵抗の劣化、及び/又は送信機側でのマイクロバンプ抵抗及び/又は差動レーンマイクロバンプの劣化を計算する。これは、外れ値の検出、体系的なシフト検出、アラート、及びレーン修理のうちの1つ以上を含み得る。
各々が異なるICに埋め込まれた多数のそのようなダイ間接続性監視システムからのデータ分析は、分析システムで有利に実行され得る。分析システムは、1つ以上のハードウェアプロセッサ(例えば、CPU)、ランダムアクセスメモリ(RAM)、1つ以上の非一時的なコンピュータ可読ストレージデバイス、及びネットワークインターフェースコントローラ(NIC)を含むコンピュータ化されたシステムであり得る。ストレージデバイスは、ハードウェアプロセッサを動作させるように構成されたプログラム命令及び/又は構成要素を格納している場合がある。プログラム命令は、現場で動作するICから受信されたマージンデータを分析するデータ分析モジュールなどの1つ以上のソフトウェアモジュールを含み得る。
いくつかの実施形態では、ソフトウェア構成要素は、一般的なシステムタスク(例えば、メモリ管理、ストレージデバイス制御、電力管理、ネットワーク通信など)を制御及び管理し、様々なハードウェア及びソフトウェア構成要素間の通信を容易にするための様々なソフトウェア構成要素及び/又はドライバを有する、オペレーティングシステムを含み得る。
分析システムは、プロセッサによって実行されているデータ分析モジュールの命令をRAMにロードすることによって動作し得る。データ分析モジュールの命令により、分析システムは、複数のICから集められたマージンデータをそのネットワークインターフェースコントローラを通じて(例えば、インターネットを通じて)受信し、処理し、データの統計分析を出力し得る。統計分析は、例えば、アイパターンパラメータ(アイ幅を含む)、劣化率、一般に分解又は劣化が速いレーン及び/又はピンなどを示すことができる。システムで実行される分析に基づいて、ICのうちの1つ以上は、データスループット、クロックレート、及び処理時間のうちの1つ以上を調整するために再構成され得る。この点で、システムは、システムのNICを通じて、通信ネットワーク(インターネットなど)及びこれらのICの通信インターフェースを介して、再構成される各ICにメッセージを送信し得る。メッセージは、データフロー又はクロック速度に影響を与える内部ICパラメータの設定又は変更方法に関する命令を含み得る。命令は、そのICの具体的なマージンデータのそれぞれについて、各ICに個別であり得る。代替的に、同じ命令は、統計分析が密接に関連していると分類された複数のICに送信され得る。
本明細書で説明する分析システムは、本発明の例示的な実施形態にすぎず、実際には、ハードウェアのみ、ソフトウェアのみ、又はハードウェアとソフトウェアの両方の組み合わせで実装され得る。分析システムは、示されているよりも多い若しくは少ない構成要素及びモジュールを有し得るか、2つ以上の構成要素を組み合わせ得るか、又は構成要素の異なる構成若しくは配置を有し得る。分析システムは、マザーボード、データバス、電源、ディスプレイ、入力デバイス(例えば、キーボード、ポインティングデバイス、タッチセンシティブディスプレイ)など、操作可能なコンピュータシステムとして機能することを可能にする任意の追加構成要素を含み得る。更に、当技術分野において既知であるように、分析システムの構成要素を同じ場所に配置若しくは分散させ得るか、又は分析システムを1つ以上のクラウドコンピューティング「インスタンス」、「コンテナ」、及び/若しくは「仮想マシン」として実行することができる。
図2を参照すると、I/Oブロックの概略ブロック図が示されている。これは、ブロックコントローラのI/Oセンサへの接続性を記述する(I/Oセンサのピン名は信号名と同じである)。信号については、以下でより詳細に考察される。
ブロックコントローラ(Prtn_tca_block_controller)は、位相ロックループ(PLL)分周されたクロック(一実施形態では、10GHz PLLクロック及び10の分周に対して1GHzに等しい)によって、かつFCコントローラによって生成された低周波クロック(Prtn_clk)によってクロックされる。PLLクロックは、PLLブロックによって提供される。したがって、分周されたクロックは、データ送信に使用されるメインクロックの派生物である。2つのクロックは、別個のものである。
分周されたPLLクロックは、Sensor-fail表示信号と内部FSMの同期に使用される。低周波数クロック(Prtn_clk)は、FCコントローラとのインターフェース、コマンドの取得、測定値の読み出しに使用される。以下で考察されるように、各I/OセンサはPLLクロック(上で考察されるように10GHz)によってクロックされる。フェイルデータに対するマージンは、このクロック立ち上がりエッジに関連している。
図3を参照すると、I/Oブロックの一部を形成するI/Oセンサの概略回路図が示されている。上で考察されるように、I/Oセンサへの主要な入力は、クロック信号であり、これは、PLLブロックから提供された分周されていないクロックである。実際には、クロック信号は、正クロック信号(clkp)及び正クロック信号(clkn)の反転形式である負クロック信号の2つの形式で提供され得る。別の入力は、測定対象のピン又はレーンからのデータ信号(d_in)である。構成信号(ptrn_io_sensor_cfg)も、I/Oセンサへの入力として提供される。起動信号(ptrn_io_sensor_en)は、I/Oセンサへの更なる入力である。
I/Oセンサの主要な構成要素は、調整可能な遅延線10及び出力比較信号(X0)を提供するXOR比較ロジックゲートである。調整可能な遅延線は、設定された構成可能な持続時間だけ遅延された入力のバージョンである出力信号(x_in_d)を提供する。いくつかの状態要素又はサンプリング要素又はフリップフロップ(FF)も提供され、これら2つの状態の出力は、XOR比較ロジックゲートへの2つの入力であるため、第1の状態要素(FF1)及び第2の状態要素(FF2)が、最も関連性がある。I/Oセンサは、調整可能な遅延線、第1の状態要素(FF1)及び第2の状態要素(FF2)への入力を構成する信号多重化配置20も備える。状態要素の各々は、それぞれのデータ信号i4nput、及びそれぞれのクロック信号入力の2つの入力を有する。第1及び第2の状態要素の出力が同じである場合、出力比較信号(X0)は、論理ロー値になり、マージンが設定された遅延持続時間よりも小さいというパス結果を示す。第1及び第2状態要素の出力が異なる場合、出力比較信号(X0)は、論理ハイ値になり、マージンが設定された遅延持続時間以下であるというフェイル結果を示す。
入力信号は、更なる信号を定義するために処理される。データ信号(d_in)及び起動信号(ptrn_io_sensor_en)は、入力として第1のANDゲートに提供され、処理されたデータ信号(d_in_i)を定義する。正クロック信号(clkp)及び起動信号(ptrn_io_sensor_en)は、処理された正クロック信号(clk_p_i)を定義するために、入力として第2のANDゲートに提供される。負クロック信号(clkn)及び起動信号(ptrn_io_sensor_en)は、処理された負クロック信号(clk_n_i)を定義するために、入力として第3のANDゲートに提供される。
信号多重化配置20は、第1のマルチプレクサ(Mux1)と、第2のマルチプレクサ(Mux2)と、第3のマルチプレクサ(Mux3)と、第4のマルチプレクサ(Mux4)と、第5のマルチプレクサ(Mux5)と、第6のマルチプレクサ(Mux6)と、第7のマルチプレクサ(Mux7)と、を備える。当然のことながら、7つのマルチプレクサの使用は例示的な例にすぎず、本明細書に記載の原則に従いながら、具体的な実装形態の必要に応じて、任意の数のマルチプレクサが使用され得る。第1のマルチプレクサ(Mux1)は、第1の3ビットマルチプレクサ制御信号(S1)によって制御され、処理されたデータ信号(d_in_i)、処理された正クロック信号(clk_p_i)、及び処理された負クロック信号(clk_n_i)の入力間で選択することによって、第1のマルチプレクサ出力を提供する。第1のマルチプレクサ出力は、調整可能な遅延線への入力である。
第2のマルチプレクサ(Mux2)は、第2の3ビットマルチプレクサ制御信号(S2)によって制御され、一定の論理ロー信号(1’b0)、処理された正クロック信号(clk_p_i)、及び処理された負クロック信号(clk_n_i)の入力間で選択することによって、第2のマルチプレクサ出力を提供する。第3のマルチプレクサ(Mux3)は、第3の3ビットマルチプレクサ制御信号(S3)によって制御され、処理されたデータ信号(d_in_i)、一定の論理ロー信号(1’b0)、及び一定の論理ロー信号(1’b0)の入力間で選択することによって、第3のマルチプレクサ出力を提供する。
第4のマルチプレクサ(Mux4)、第5のマルチプレクサ(Mux5)、第6のマルチプレクサ(Mux6)、及び第7のマルチプレクサ(Mux7)は全て、1ビット共通制御信号(C0)によって制御される。これらのマルチプレクサの各々について、リストされている第1の入力は、共通制御信号が高ロジックレベルの場合に出力として提供され、リストされている第2の入力は、共通制御信号が低ロジックレベルの場合に出力として提供される。第4のマルチプレクサ(Mux4)は、第3のマルチプレクサ出力と調整可能な遅延線出力信号(x_in_d)との間の入力から選択することによって、第4のマルチプレクサ出力を提供する。第4のマルチプレクサ出力は、第1の状態要素(FF1)へのデータ入力である。第5のマルチプレクサ(Mux5)は、調整可能な遅延線出力信号(x_in_d)と第2のマルチプレクサ出力との間の入力から選択することによって、第5のマルチプレクサ出力を提供する。第5のマルチプレクサ出力は、第1の状態要素(FF1)へのクロック入力である。第6のマルチプレクサ(Mux6)は、第3のマルチプレクサ出力と第1のマルチプレクサ出力との間の入力から選択することによって、第6のマルチプレクサ出力を提供する。第6のマルチプレクサ出力は、第2の状態要素(FF2)へのデータ入力である。第7のマルチプレクサ(Mux6)は、第1のマルチプレクサ出力と第2のマルチプレクサ出力との間の入力から選択することによって、第7のマルチプレクサ出力を提供する。第7のマルチプレクサ出力は、第2の状態要素(FF2)へのクロック入力である。
I/Oセンサは、データ信号及びクロック信号の相対的なタイミング特性を測定することができ、信号多重化配置の適切に構成によって、クロック立ち上がりエッジまでのデータ信号セットアップ時間、クロック立ち下がりエッジまでのデータ信号セットアップ時間、クロック立ち上がりエッジまでのデータ信号ホールド時間、及びクロック立ち下がりエッジまでのデータ信号ホールド時間の測定が可能である。これらの構成については、以下で更に考察される。
I/Oセンサは、構成信号(prtn_io_sensor_cfg[10:0]構成ビットの値)を設定することによって構成されている。11個の構成ビットは、3つのタイプの機能を担当する。4つの構成ビット(prtn_io_sensor_cfg[3:0])は、マージンテスト中に動的に変更される調整可能な遅延線値を構成し、5つの構成ビット(prtn_io_sensor_cfg[8:4])は、動作周波数(データレートの半分に等しい)に従って構成されており、マージンテスト中に変更されず、2つの構成ビット(prtn_io_sensor_cfg[10:9])は、測定モードを構成する。
動作周波数に応じて5つの構成ビット(prtn_io_sensor_cfg[8:4])が構成され得る方法の例を以下の表1に示す。
Figure 2023521500000002
測定サイクル中(以下でより詳細に説明される)、ブロックコントローラは、その目的のために予約されている4つの構成(prtn_io_sensor_cfg[3:0])を使用して、各I/Oセンサの内部調整可能な遅延線を構成する。これは、最大16個の異なる遅延時間がマージン測定に設定されることを可能にする。最小遅延線値は、prtn_io_sensor_cfg[3:0]=[00000]によって構成されており、最大遅延線値は、prtn_io_sensor_cfg[3:0]=[11111]によって構成されている。
ここで、4つの異なるマージン測定モードについて、より詳細に考察する。考慮すべき第1のモードは、クロック立ち上がりエッジまでのデータ信号セットアップ時間の測定である。このモードでは、第1のマルチプレクサ(Mux1)が制御されて、第1のマルチプレクサ出力を処理されたデータ信号(d_in_i)として提供し、第2のマルチプレクサ(Mux2)が制御されて、第2のマルチプレクサ出力を処理された正クロック信号(clk_p_i)として提供し、共通制御信号(C0)は、論理ロー値に設定される。第3のマルチプレクサ出力は関連性がないため、一定の論理ロー信号(1’b0)に設定できる。結果として、第1の状態要素は、正クロック信号によって、遅延データ信号をサンプリングするように構成されており、第2の状態要素は、正クロック信号によって、データ信号を(すなわち、遅延なしで)サンプリングするように構成されている。したがって、XOR比較ロジックゲートは、データ信号と遅延データ信号の両方が同じクロック立ち上がりエッジによってサンプリングされたときに、同じかどうかをテストする。
クロック立ち下がりエッジまでのデータ信号のセットアップ時間の測定は、次のように行われる。このモードでは、第1のマルチプレクサ(Mux1)が制御されて、第1のマルチプレクサ出力を処理されたデータ信号(d_in_i)として提供し、第2のマルチプレクサ(Mux2)が制御されて、第2のマルチプレクサ出力を処理された負クロック信号(clk_n_i)として提供し、共通制御信号(C0)は、論理ロー値に設定される。第3のマルチプレクサ出力は関連性がないため、一定の論理ロー信号(1’b0)に設定できる。結果として、第1の状態要素は、負クロック信号によって、遅延データ信号をサンプリングするように構成されており、第2の状態要素は、負クロック信号によって、データ信号を(すなわち、遅延なしで)サンプリングするように構成されている。したがって、XOR比較ロジックゲートは、データ信号と遅延データ信号の両方が同じクロック負クロック立ち上がりエッジによってサンプリングされたときに、同じかどうかをテストする。
ここで図4を参照すると、セットアップ時間に関してマージンパラメータを監視するための波形タイミング図が示されている。一番上の波形はクロック信号(clk)を示し、最小データ幅を定義するUI(ユニット間隔)を示す。例えば、デュアルデータレート(DDR)を使用するシステムは、UIがクロック立ち上がりエッジと立ち下がりエッジの間の時間間隔に等しくなるように、クロック立ち上がりエッジ及びクロック立ち下がりエッジでデータを生成する。第2の波形は、データ信号(d_in)である。これから、クロック立ち上がりエッジまでのセットアップ時間(ΔT_S_r)及びクロック立ち下がりエッジまでのセットアップ時間(ΔT_S_f)がわかる。調整可能な遅延線からの出力である遅延データ信号(x_in_d)も示され、調整可能な遅延線に設定された遅延持続時間に依存する様々な遅延の範囲を示す。また、具体的な遅延データ信号(x_in_d)の出力比較信号(X0)も示され、この場合、これは、論理ハイを示し、マージンが少なくとも設定された遅延持続時間であることを示す。センサ出力信号(SO)は、センサフェイル表示信号(ptrn_io_sensor_fail)に対応する。これは、第1のフェイルの後、リセットされるまで論理ハイレベルに留まるスティッキービットであり、以下で更に考察される。
クロック立ち上がりエッジまでのデータ信号ホールド時間の測定は、次のように行われる。このモードでは、第1のマルチプレクサ(Mux1)が制御されて、第1のマルチプレクサ出力を処理された正クロック信号(clk_p_i)として提供し、第3のマルチプレクサ(Mux3)が制御されて、第3のマルチプレクサ出力を処理されたデータ信号(d_in_i)として提供し、共通制御信号(C0)は、論理ハイ値に設定される。第2のマルチプレクサ出力は関連性がないため、一定の論理ロー信号(1’b0)に設定できる。この場合、調整可能な遅延線の出力は、遅延された正クロック信号である。データ信号は、調整可能な遅延線への入力として提供されない。結果として、第1の状態要素は、遅延された正クロック信号によってデータ信号をサンプリングするように構成されている。したがって、第1の状態要素の出力は、サンプリングによって導入された遅延を伴う遅延データ信号である。第2の状態要素は、正クロック信号によって(すなわち、遅延なしで)、データ信号をサンプリングするように構成されている。したがって、XOR比較論理ゲートは、クロック立ち上がりエッジによってサンプリングされたデータ信号と遅延クロック立ち上がりエッジによってサンプリングされたデータ信号(つまり、遅延データ信号)が同じかどうかをテストする。
クロック立ち下がりエッジまでのデータ信号ホールド時間の測定は、次のように行われる。このモードでは、第1のマルチプレクサ(Mux1)が制御されて、第1のマルチプレクサ出力を処理された負クロック信号(clk_n_i)として提供し、第3のマルチプレクサ(Mux3)が制御されて、第3のマルチプレクサ出力を処理されたデータ信号(d_in_i)として提供し、共通制御信号(C0)は、論理ハイ値に設定される。第2のマルチプレクサ出力は関連性がないため、一定の論理ロー信号(1’b0)に設定できる。この場合、調整可能な遅延線の出力は、遅延された負クロック信号である。データ信号は再び、調整可能な遅延線への入力として提供されない。結果として、第1の状態要素は、遅延された負クロック信号によってデータ信号をサンプリングするように構成されている。したがって、第1の状態要素の出力は再び、サンプリングによって導入された遅延を伴う遅延データ信号である。第2の状態要素は、負クロック信号によって(すなわち、遅延なしで)、データ信号をサンプリングするように構成されている。したがって、XOR比較論理ゲートは、負クロック立ち上がりエッジによってサンプリングされたデータ信号と遅延負クロック立ち上がりエッジによってサンプリングされたデータ信号(つまり、遅延データ信号)が同じかどうかをテストする。
ここで図5を参照すると、ホールド時間に関してマージンパラメータを監視するための波形タイミング図が示されている。一番上の波形は、クロック信号(clk)を示し、第2の波形は、データ信号(d_in)である。これから、クロック立ち下がりエッジまでのホールド時間(ΔT_H_f)及びクロック立ち上がりエッジまでのセットアップ時間(ΔT_H_r)がわかる。調整可能な遅延線からの出力である遅延クロック信号(x_in_d)も示され、調整可能な遅延線に設定された遅延持続時間に依存する様々な遅延の範囲を示す。また、具体的な遅延クロック信号(x_in_d)の出力比較信号(X0)も示され、この場合、これは、論理ハイを示し、マージンが少なくとも設定された遅延持続時間であることを示す。センサ出力信号(SO)は、図4を参照して上で考察される通りであり、その生成の例を以下に詳述する。
上で考察されるように、構成信号(prtn_io_sensor_cfg)の2ビットを使用して、I/Oセンサを4つの測定モードのうちの1つに構成する。これらのビットの第1のもの(prtn_io_sensor_cfg[9])は、測定をセットアップ又はホールドするようにI/Oセンサを構成する共通制御信号(C0)である。共通制御信号(C0、prtn_io_sensor_cfg[9])が論理ロー値([0])の場合、I/Oセンサは次いで、セットアップ測定モードに構成されており、共通制御信号(C0、prtn_io_sensor_cfg[9])])が論理ハイ値([1])の場合、I/Oセンサは次いで、ホールド測定モードに設定される。これらのビットの第2のもの(C1、prtn_io_sensor_cfg[10])は、クロック立ち上がりエッジ又はクロック立ち下がりエッジのどちらを参照に使用するかを判定する。この第2のビット(C1、prtn_io_sensor_cfg[10])が論理ロー値([0])の場合、I/Oセンサは次いで、クロック立下がり(負)測定モードに構成されており、第2のビット(C1、prtn_io_sensor_cfg)[10])が論理ハイ値([1])の場合、I/Oセンサは次いで、クロック立ち上がり(正)測定モードに構成されている。
I/Oセンサは、これらの2つの構成ビットを使用して、表2の次の論理式によって、信号の多重化配置を構成し得る。
Figure 2023521500000003
上で考察される一般化された意味に戻ると、更なる特徴が考慮され得る。例えば、比較回路は、XORゲートを備え得る。次に、比較信号は、XORゲートの出力に基づき得る。XORゲートへの一方の入力は、データ信号であり得、他方の入力は、遅延データ信号であり得る。調整可能な遅延線は、少なくとも1psの分解能を有し得る。追加的又は代替的に、調整可能な遅延線は、持続時間に対して少なくとも16個の構成を有し得る。
特定の構成では、データ信号は、入力信号として調整可能な遅延線に提供され、データ信号及び遅延データ信号は、クロック信号に従ってサンプリングされる。他の構成では、データ信号は、クロック信号に従ってサンプリングされ、クロック信号は、入力信号として調整可能な遅延線に提供されて、遅延クロック信号を提供する。次いで、遅延データ信号は、遅延クロック信号に従ってサンプリングされたデータ信号であり得る。
遅延回路は、第1の状態要素入力信号を受信し、第1の状態要素入力信号及び第1のクロック入力に基づいて、第1の状態要素出力を提供するように構成された第1の状態要素(例えば、フリップフロップ)と、第2の状態要素入力信号を受信し、第2の状態要素入力信号及び第2のクロック入力に基づいて、第2の状態要素出力を提供するように構成された第2の状態要素(例えば、フリップフロップ)と、多重化配置と、を更に備え得る。多重化配置は、有利には、2つの構成のうちの1つを適用するように選択的に構成されている。第1の構成では、データ信号は、入力信号として調整可能な遅延線に適用され、調整可能な遅延線の出力は、第1の状態要素入力信号として提供され、データ信号は、第2の状態要素入力信号として提供され、クロック信号は、第1及び第2のクロック入力として提供される。第2の構成では、クロック信号は、入力信号として調整可能な遅延線に適用され、調整可能な遅延線の出力は、第1のクロック入力として提供され、データ信号は、第1及び第2の状態要素入力信号として提供され、クロック信号は、第2のクロック入力として提供される。多重化配置は、クロック信号として、(a)正クロック信号、又は(b)反転された正のクロック信号である負クロック信号を選択的に適用するように更に構成され得る。
別の一般的な意味(本明細書に開示された他の態様と組み合わされ得る)では、複数のI/Oセンサを備えるI/Oブロックが考慮され得、各I/Oセンサは、本明細書に開示されたものであり、半導体ICの相互接続された部分から、それぞれの異なるデータ信号を受信するように構成されている。複数のI/Oセンサの各々の処理ロジックは、共通の制御ブロックに位置し得る。各I/Oセンサは、半導体ICの相互接続された部分の異なるピンからそれぞれのデータ信号を受信し、他のモジュールと並行して、それぞれのピンのマージン測定値を識別するように構成され得る。
I/Oブロックは、半導体IC上に位置し得る。次いで、I/Oブロックは、識別されたマージン測定値を格納するために、かつ/又は、相互接続品質パラメータを判定するために外部プロセッサとインターフェースするように構成され得る。
別の一般的な意味(本明細書の他の開示とも組み合わされ得る)によれば、複数のI/Oブロックを備えるI/O監視システムが提供され得、各I/Oブロックは、本明細書に開示する通りである。次いで、複数のI/Oブロックの各々は、共通のI/Oコントローラによって制御され得る。
いくつかの一般化された意味は、本明細書に記載のI/Oブロック又は本明細書に記載のI/O監視システムを備える半導体ICと見なされ得る。上で考察されるように、それに応じてI/Oセンサを提供及び/若しくは構成する対応するステップを含むI/Oブロック、I/O監視システム、及び/若しくは半導体ICを製造し、かつ/又は動作させる方法も提供され得る。
I/Oセンサはまた、第3の状態要素(FF3)、第4の状態要素(FF4)、ORゲート(OR1)、及び第5の状態要素(FF5)を含む、テスト検証部分を備える。出力比較信号(X0)は、ORゲート(OR1)への第1の入力として提供され、ORゲート(OR1)の出力は、第5の状態要素(FF5)へのデータ入力である。第5の状態要素(FF5)へのクロック入力は、第7のマルチプレクサ出力である(これは、前述のように、立ち上がりエッジ測定が行われている場合は正クロック信号であり、立ち下がりエッジ測定が行われている場合は負クロック信号である)。第5の状態要素(FF5)の出力は、ORゲート(OR1)への第2の入力として提供される。この出力(バッファを介して提供される)は、出力比較信号(X0)がハイになるとすぐに、論理ハイ値にホールドされるセンサフェイル表示信号(ptrn_io_sensor_fail)を示す。これは、上で考察されるセンサ出力信号(SO)に対応する。
センサフェイル表示信号(ptrn_io_sensor_fail)は、各I/Oセンサから送信される1ビットであり、これにより、調整可能な遅延線の構成がピンのマージン、つまり、データ立ち上がりとクロック立ち上がりとの間の時間差以上であることを示す。上記のように、フェイル表示は、スティッキーメカニズムを使用することによって、I/Oセンサによって生成される。つまり、フェイル表示は発生すると、次のI/Oセンサのリセットまで論理ハイレベルに留まる(以下で考察されるように)。センサの表示は、常にI/Oセンサのアクティブ又は現在の構成モードに関連している。
第3の状態要素(FF3)及び第4の状態要素(FF4)は、第5の状態要素(FF5)をリセットし、それによってテスト失敗信号(ptrn_io_sensor_fail)をリセットするために使用される2段階のシンクロナイザを形成する。第3の状態要素(FF3)及び第4の状態要素(FF4)の両方へのクロック入力は、第7のマルチプレクサ出力である(上で考察されるように)。第3の状態要素(FF3)へのデータ入力は、一定の論理ロー信号(1’b0)であり、第4の状態要素(FF4)へのデータ入力は、第3の状態要素(FF3)の出力である。アクティブロー非同期リセット信号(ptrn_io_sensor_rst_n)の入力も、第3の状態要素(FF3)と第4の状態要素(FF4)の両方へのセット信号入力として提供される。第4の状態要素(FF4)の出力は、リセット信号として第5の状態要素(FF5)に提供される。したがって、第5の状態要素(FF5)は、センサフェイル表示信号を高速クロックに同期させるために使用される。第3の状態要素(FF3)及び第4の状態要素(FF4)のシリアル構造は、準安定性を回避するために使用される。
ブロックコントローラ(prtn_tca_block_ctrl)は、ブロックにおける全てのI/Oセンサに分散されるリセット信号(prtn_io_sensor_rst_n)を生成する。リセット信号は、ブロックコントローラ(prtn_tca_block_ctrl)のクロックに使用されるPLL分周されたクロックドメインに関連している。これを行うために、ブロックコントローラは、FCコントローラ(prtn_tca_top_ctrl)によって生成され、PLL分周されたクロックに同期されたブロックリセット信号(prtn_rst_n)を使用し得る。
リセット信号は、I/Oセンサ動作クロック(この例では10GHzクロック)と非同期のブロックコントローラによって生成され、非同期リセットとしてI/Oセンサにおいて使用される。そのため、I/Oセンサのリセットアサーションは、10GHzクロックと非同期である。調整可能な遅延線の構成を変更する前に、リセットをアサートする必要がある。IOセンサリセットのアサート解除は、I/Oセンサ内でI/Oセンサ動作クロック(10GHz)クロックに同期される。同期は、I/Oセンサの各々内に位置する2段階のシンクロナイザを使用して実行される。リセットのアサート解除時間は、2つの10GHzクロックサイクルに等しい。
I/Oセンサは、起動信号(prtn_io_sensor_en)を高論理レベル([1])に設定することによって起動される。これは、リセットのアサート解除の1クロックサイクル(PLL分周されたクロックサイクル)前に実行される。I/Oセンサが非起動されると、起動信号(prtn_io_sensor_en)は、リセットアサートの1クロックサイクル(PLL分周されたクロックサイクル)後に論理レベル([0])に設定される。
一般的には、半導体3次元(3D)集積回路(IC)の入力/出力(I/O)ブロックが考慮され得る。I/Oセンサは、半導体3D ICの相互接続された部分(双方向インターフェースであり得る)からデータ信号を受信し、遅延データ信号を生成するように構成された遅延回路と、データ信号を遅延データ信号と比較することによって、比較信号を生成するように構成された比較回路と、を備える。遅延回路は、設定された持続時間だけ入力信号を遅延させるように構成された調整可能な遅延線を備える。I/Oセンサは、調整可能な遅延線の持続時間を設定し、比較信号に基づいて、アイパターンパラメータを判定するために、データ信号のマージン測定値を識別するように構成された処理ロジックを更に備える。処理ロジックの一部又は全ては、I/Oセンサの残りの部分と同じ場所に配置され得、処理ロジックの一部又は全ては、I/Oセンサから離れて位置し得る。処理ロジックの一部又は全ては、1つ以上のI/Oセンサ間で共有され得る。本明細書に記載のI/Oセンサの特徴を提供し、かつ/又は動作させるステップを含むI/Oマージンを測定する方法も理解され得る。
複数のI/Oセンサを備える入力/出力(I/O)ブロックも考慮される。この場合、各I/Oセンサは、特に、半導体3D ICの異なる相互接続された部分、例えば、異なるレーン又はピンから、それぞれの異なるデータ信号を受信するように構成され得る。各I/Oセンサが、半導体3D ICの相互接続された部分の異なるピンからそれぞれのデータ信号を受信するように構成されている場合、各I/Oセンサは、それぞれのピンのマージン測定値を他のセンサと並行して識別するように構成され得る。I/Oブロックの複数のI/Oセンサの各々の処理ロジックの一部又は全ては、有利には、共通の制御ブロックに位置する。I/Oセンサ及び/又はI/Oブロックは、半導体3D IC上に位置し得る。次いで、I/Oセンサ及び/又はI/Oブロックは、識別されたマージン測定値を格納するために、かつ/又は、アイパターンパラメータを判定するために外部プロセッサとインターフェースするように構成され得る。
更なる態様では、複数のI/Oブロックを備える入力/出力(I/O)監視システムも考慮され得る。複数のI/Oブロックの各々は、共通のI/Oコントローラによって制御され得る。
更に別の態様は、本明細書に記載の入力/出力(I/O)センサ及び/又はI/Oブロック及び/又はI/O監視システムを備える半導体3次元(3D)集積回路(IC)に見出され得る。
I/Oセンサ(任意選択的に、I/Oブロック、I/O監視システム、又は3D IC内)を再び参照すると、更なる任意選択的な好ましい、かつ/又は有利な特徴が考慮され得る。
マージン測定は、例えば、クロック立ち上がりエッジまでのデータ信号セットアップ時間、クロック立ち下がりエッジまでのデータ信号セットアップ時間、クロック立ち上がりエッジまでのデータ信号ホールド時間、及びクロック立ち下がりエッジまでのデータ信号ホールド時間のうちの1つ以上を含み得る。
複数の持続時間の各々について、処理ロジックは、調整可能な遅延線をそれぞれの持続時間に設定し、それぞれの持続時間の比較信号がパス又はフェイル状態のいずれを示すかを判定し得る。次いで、処理ロジックは、比較信号がフェイル状態を示す複数の持続時間から最小持続時間を識別し得る。任意選択的に、処理ロジックは、複数の測定サイクルの各々について、調整可能な遅延線を複数の持続時間の各々に設定すること及び最小持続時間を識別することを繰り返し得る。次いで、処理ロジックは、複数の測定サイクルにわたる最低の最小持続時間、複数の測定サイクルにわたる最高の最小持続時間、及び複数の測定サイクルにわたる最小持続時間の合計のうちの1つ以上を更に判定し得る。
任意選択的に、調整可能な遅延線は、動作の最大周波数で少なくとも1ps(任意選択的に2ps、又は動作周波数に対して)及び/又は持続時間に対して少なくとも16個の(任意選択的に32個の)構成の分解能を有する。
実施形態では、比較回路は、XORゲートを備える。XORゲートへの第1の入力は、(有利には、サンプリングされた)データ信号によって提供され得、XORゲートへの第2の入力は、遅延データ信号によって提供され得る。
特定の動作モードでは、データ信号は、入力信号として調整可能な遅延線に提供され、データ信号及び遅延データ信号は、クロック信号に従ってサンプリングされる。他の動作モードでは、データ信号は、クロック信号に従ってサンプリングされ、クロック信号は、入力信号として調整可能な遅延線に提供されて、遅延クロック信号を提供する。次いで、遅延データ信号は、遅延クロック信号に従ってサンプリングされたデータ信号であり得る。
動作モードは、多重化配置によって制御され得る。例えば、遅延回路は第1の状態要素入力信号を受信するように、かつ第1の状態要素入力信号及び第1のクロック入力に基づいて、第1の状態要素出力を提供するように構成された第1の状態要素と、第2の状態要素入力信号を受信するように、かつ、第2の状態要素入力信号及び第2のクロック入力に基づいて、第2の状態要素出力を提供するように構成された第2の状態要素と、選択的に、(i)データ信号を入力信号として調整可能な遅延線に適用し、調整可能な遅延線の出力が、第1の状態要素入力信号として提供され、データ信号が、第2の状態要素入力信号として提供され、クロック信号が、第1及び第2のクロック入力として提供されるか、又は(ii)クロック信号を入力信号として調整可能な遅延線に適用し、調整可能な遅延線の出力が、第1のクロック入力として提供され、データ信号が、第1及び第2の状態要素入力信号として提供され、クロック信号が、第2のクロック入力として提供されるように構成された多重化配置と、を更に備え得る。多重化配置は、クロック信号として、(a)正クロック信号、又は(b)反転された正のクロック信号である負クロック信号を選択的に適用するように更に構成され得る。
本開示は、ここで、一般的に考察されてきたが、更に具体的な詳細は、以下で再び考慮される。
図6を参照すると、測定サイクルにわたるダイ間接続性監視システムの動作の波形タイミング図が示されている。測定サイクルは、測定時間間隔中のブロックコントローラのアクティビティを定義する。各測定サイクルは、複数[K]の遅延線サイクルを含む。各遅延線サイクルは、複数(一実施形態では16回)の測定を含み、各々が、調整可能な遅延線の異なる位置を有する。各遅延線サイクル当たりの測定数は、より一般的な意味で、2~100の間、又はより具体的には、2~10、2~20、2~30、2~40、2~50、5~10、5~20、5~30、5~40、5~50、10~20、10~20、10~30、10~40、10~50、20~30、20~40、20~50、30~40、30~50、40~50、若しくは2~100の間の他のサブ範囲の間であり得る。他の実施形態では、各遅延線サイクル当たりの測定数は、100を超え得る。
測定コマンドがブロックコントローラ(prtn_tca_block_ctrl)に送信されると、測定サイクルが開始され、読み取りサイクルが実行されると、停止する。新たな測定サイクルを実行するために、新たな測定コマンドが送信される。ブロックコントローラが読み取りコマンドを受信すると、まず、起動信号(ptrn_io_sensor_en)信号をロジックローレベルに設定することによって、I/Oセンサを無効にする。
調整可能な遅延線サイクルの各々の間、I/Oセンサ調整可能な遅延線は、16個の位置の各々に構成される。つまり、I/Oセンサ調整可能な遅延線は、最小遅延値から最大遅延値に変更される。位置の各々に留まる時間間隔は、「WIN」パラメータによって定義される。WINパラメータは8、16、32、及び64のうちの1つに構成可能であり、時間間隔は、WIN構成にPLL出力時間間隔を乗算し、クロックサイクル時間で除算した値に等しい。
図7を参照すると、図3によるI/Oセンサの起動及びリセットの波形タイミング図が示されている。新たな遅延線値を設定する時間は、ブロックコントローラ(prtn_tca_block_ctrl)が、センサフェイル表示信号(ptrn_io_sensor_fail)を制御する第5の状態要素(FF5)をリセットするのにかかる時間、新たな遅延線値(調整可能な遅延線の位置)を設定する時間、及びガードバンド時間の合計である。これは、以下のシーケンスに従って、PLL分周されたクロック(この例では1GHz)の8つのサイクルとして計算される。第1のサイクルを非同期リセット信号(ptrn_io_sensor_rst_n)に設定する[2];2つのサイクルを待って、センサフェイル表示信号(ptrn_io_sensor_fail)をリセットする[4]。遅延線サイクル長は、[16xWIN]+[32x新たな調整可能な遅延線値を設定する時間]に等しい。
図8を参照すると、I/Oブロックの一部を形成するI/Oブロックコントローラの概略ブロック図が示されている。これには、第1のロジック、メモリブロック、及び第2のロジックが含まれる。第3のロジックは、起動信号(ptrn_io_sensor_en)、アクティブロー非同期リセット信号(ptrn_io_sensor_rst_n)、及び調整可能な遅延線値を構成する4つの構成ビット(prtn_io_sensor_cfg[3:0])を生成する。
シンクロナイザは、複数(この場合は44、[43:0])の異なるセンサ、PLL(1GHz)クロック信号、及びアクティブロー非同期リセット信号(ptrn_io_sensor_rst_n)の各々について、1ビットのセンサフェイル表示信号(ptrn_io_sensor_fail)を受信し、を生成し、各センサの同期センサフェイル信号(sensor_fail_sync[43:0])を第1のロジックに提供する。次いで、第1のロジックは、センサフェイルを生成する最小遅延線位置を判定し、これをメモリブロックに提供する。メモリブロックは、ピンごとの完全な遅延線サイクル(16個のWIN間隔によって実行される16個の遅延線位置)中にセンサフェイルを生成する最小の遅延線位置を格納する必要がある。第2のロジックは、メモリブロックからFCコントローラへの読み出しに使用できる。
ブロックコントローラの第3のロジック(prtn_tca_block_ctrl)が測定開始(start_measure)コマンドを受信すると、最初に起動信号(ptrn_io_sensor_en)をロジックハイ値に設定することによって、I/Oセンサを有効にする。次いで、測定サイクルを起動する。
4つのデータタイプが、I/Oセンサ(ピン)ごとにブロックコントローラによって生成され、合計33ビット(又はcount_length構成によっては31ビット)が提供される。第1のタイプは、完全な測定サイクル([N]x遅延線サイクル)中にセンサフェイルを生成する、より低い最小遅延線位置であり、これには、I/Oセンサごとに5ビットが必要である(メモリ[4:0])。第2のタイプは、完全な測定サイクル([N]x遅延線サイクル)中にセンサフェイルを生成する、より高い最小遅延線位置であり、これには、IOセンサごとに5ビットが必要である(メモリ[4:0])。第3のタイプは、より低い最小遅延線位置の読み取り値(第1のタイプ)とより高い最小遅延線位置の読み取り値(第2のタイプ)が実際のフェイル表示に対応することを示す有効ビットである。具体的には、このビットは、I/Oセンサ出力、つまりセンサフェイル表示信号(prtn_io_sensor_fail)が、測定サイクル中に少なくとも1回は論理ハイレベルであったことを示す。
第4のタイプは、遅延線サイクルの各々(1つの測定サイクル)中にセンサフェイルを生成する最小遅延線位置の合計である。これには、Count_length=512の場合は、I/Oセンサごとに14ビット(5+9)、Count_length=256の場合は、I/Oセンサごとに13ビット(5+8)が必要である。第5のタイプは、最小遅延線値(カウント)の合計で使用される有効な遅延線サイクルの数であり、これには、I/Oセンサごとに9ビット(最大512カウント)が必要である。第4及び第5のタイプは任意選択的であり、I/Oセンサごとに11ビット(合計44x11=484、つまり約61バイト)を読み取る正常モード、Count_length=512でI/Oセンサごとに33ビット(合計44x33=1452、つまり約181バイト)を読み取る、又はCount_length=256でI/Oセンサごとに31ビット(合計44x31=1364、つまり約171バイト)を読み取るデバッグモードに構成できる読み出しモード(Readout_mode)に依存する。
WIN間隔で実行中、ブロックコントローラは、センサごとのセンサフェイル表示信号(prtn_io_sensor_fail[43:0])をチェックする。信号は、シンクロナイザを使用して、ブロックコントローラ内部のPLL分周されたクロックに同期される。センサフェイル表示信号(prtn_io_sensor_fail)が論理ハイ値のときに、ブロックコントローラは、WIN間隔中に実行された遅延線位置の値をMemory[x]に格納するが、Memory[x]に格納されているものよりも遅延線位置が小さい場合のみである。
Memory[x]の第1の5ビット([4:0])は、遅延線位置を格納し、Memory[x]の第6のビット([5])は、有効(valid)ビットである。有効ビットは、センサ([x])のセンサフェイル表示信号(prtn_io_sensor_fail)が第1回目に論理ハイ値であることが判明したときに、論理ハイ値に設定される。遅延線サイクルの最後に、センサのメモリ([x])は、ピンごとのマージンに対応する最小遅延線値を格納する。
ブロックコントローラ(prtn_tca_block_controller)は、全ての遅延線測定サイクルからフェイルになる調整可能な遅延線の最小値及び全ての遅延線測定サイクルにわたって測定されたフェイルになる調整可能な遅延線の全ての最小値の間の最大値を格納する。また、最大512個の遅延線サイクルの合計、測定された最小遅延線値、及び合計された測定サイクル数のカウントも格納される。
ブロックコントローラ(prtn_tca_block_controller)とブロック内のI/Oセンサ間の通信は、高ファンアウト(FO)接続を実装する。これらの信号の伝搬遅延は、テストの効率を最適化するために、すなわち、テストの全時間に対してアクティブな時間を最適化するために、有利に定義される。
I/Oセンサの最適な動作を保証するために、I/Oセンサを駆動している信号は、I/Oセンサの入力に対して明確に定義されたスロープで到達する必要がある。以下の表3は、信号(ブロックコントローラとI/Oセンサ間、任意選択的に両方向)の各々の伝播時間及び信号スロープ(I/Oセンサ入力での)を定義する。
Figure 2023521500000004
上で考察される一般化された意味を更に参照すると、処理ロジックは、複数の測定サイクルの各々について、調整可能な遅延線を複数の持続時間の各々に設定すること及び最小持続時間を識別することを繰り返すように構成され得る。次いで、処理ロジックは、複数の測定サイクルにわたる最低の最小持続時間、複数の測定サイクルにわたる最高の最小持続時間、及び複数の測定サイクルにわたる最小持続時間の合計のうちの1つ以上を判定するように更に構成され得る。
図9を参照すると、自動テストパターン生成(ATPG)モード用に構成された、図8のI/Oブロックコントローラの一部の概略回路図が示されている。特に、これは、2つの直列状態要素(Dフリップフロップ)を備え、どちらもPLLクロック(1GHz)によってクロックされ、第1の状態要素は、データ入力として一定のロジックハイ値(1’b1)を有し、その出力(Q)をデータ入力として第2の状態要素に提供する。両方の状態要素は、リセット入力としてFCコントローラからの中央のアクティブローリセット信号(prtn_rst_n)を有し、この信号は、マルチプレクサの第1の入力(論理ロー値)としても提供される。第2の状態要素の出力(Q)は、マルチプレクサの第2の入力(論理ハイ値)として提供される。
図10を参照すると、双方向又は受信専用信号相互接続チャネル(レーン)の劣化を監視するための本開示によるI/Oセンサの実装形態の概略回路図が示されている。この実装形態は、特に、High Bandwidth Memory 3(HBM3)半導体3D ICパッケージに使用される。相互接続信号チャネルは、近端(NE)バンプ(はんだピンなどの接続)及び遠端(FE)バンプを有する。NE及びFEのパッドは、キャパシタンスを有する(C-padとラベル付けされたキャパシタによって概略的に示されている)。この例では、3D ICのダイナミック・ランダム・アクセス・メモリ(DRAM)部分にあるFEで、物理層(Phy)送信バッファが、入力(DQ[n])を受信し、これは、受信信号を閾値電圧(VREF)と比較するNE(疑似)差動受信バッファ(Diff Rxバッファ)で受信される。NE差動受信バッファの出力は、クロック(clk)も受信するI/Oセンサへのデータ入力として提供される。双方向チャネルでは、メインチップ(MC)物理層(Phy)送信機にも、送信バッファ(Txバッファ)が提供される。送信信号の遷移スロープの劣化(スロープインからスロープアウトへ)が示され、これがマージンを判定する。受信バッファは、代替的に、CMOS受信機(又は別のタイプの受信バッファ)として実装することもでき、その場合、閾値電圧(VREF)との比較を受信又は実行する必要はない。これは、以下に開示する他の差動受信バッファにも当てはまる。
図11を参照すると、HBM3 3D ICパッケージにも特に適した送信専用信号相互接続チャネル(レーン)の劣化を監視するための本開示によるI/Oセンサの実装形態の概略回路図が示されている。簡潔にするために、図10の特徴と共通する特徴については再度説明しない。2つの信号相互接続チャネルが示され、各々は、チャネルのそれぞれのNE差動受信バッファの出力がデータ入力として提供されているそれぞれのI/Oセンサを有する。この動作モードでは、セットアップ又はホールド時間を測定するための比較として使用できるクロック信号などの基準信号は存在しない。したがって、他のチャネルのNE差動受信バッファの出力は、それぞれのI/Oセンサへのクロック入力として提供される。これは、各I/Oセンサが、隣接するピン又はレーンからの信号間のセットアップ又はホールド時間を測定することを意味する。つまり、各ピンは、隣接するピンの基準信号として使用される。
例えば、第1のレーンの受信機出力(Rx[0])の基準は、第2のレーンの受信機出力(Rx[1])の遅延バージョンである。遅延は、ΔTによって与えられる。この場合、第1のレーンI/Oセンサのデータ信号は、Rx[0]であり、クロック信号は、Rx[1]+ΔTである。セットアップ時間は、Rx[1]+ΔTからRx[0]までの時間差(デルタ)として、I/Oセンサによって測定される。信号の1つ(Rx[0]又はRx[1])の劣化は、センサによって検出されるセットアップ時間の変化を引き起こす。したがって、これは送信バッファ強度の変化及びNEバンプ抵抗の変化に敏感である。△Tは、Rx[0]からRx[1]までの合計スキューを考慮して、以下の条件の少なくとも1つがホールドされるように設定される:I/Oセンサ固有の遅延(IO_Sensorint_Delay)≦Setup_time[0]≦IOセンサの最大設定ウィンドウ、及びI/Oセンサ固有の遅延≦Setup_time[1]≦I/Oセンサの最大設定ウィンドウ。
図12を参照すると、送信専用信号相互接続チャネルの劣化監視における3つのシナリオの波形タイミングが示されている。各場合において、ΔTは、1.5xIO_SensorInt_Delayとして設定される。初期タイミング関係は、受信機のランダムな変動及びデータアライメント(D[0]からD[1])によって判定される。タイミングシナリオを使用して、第1のレーンから第2のレーンへのタイミングスキュー下で適切に動作するためのΔTを判定する。第1のシナリオ(a)では、第1のレーンのタイミングは、第2のレーンのタイミングと同じであり(つまり、Rx[0]=Rx[1])、第2のシナリオ(b)では、第1のレーンのタイミングは、第2のレーンの前であり(例えば、Rx[0]-Rx[1]≧-20ps)、第3のシナリオ(c)では、第1のレーンのタイミングは、第2のレーンの後である(つまり、Rx[0]-Rx[1])≦20ps)。
図13を参照すると、HBM3 3D ICパッケージにも特に適した差動相互接続受信チャネル(レーン)の劣化を監視するための本開示によるI/Oセンサの実装形態の概略回路図が示されている。簡潔にするために、図10及び11の特徴と共通する特徴については再度説明しない。送信専用レーンの場合は、クロックなどの基準信号がないため、2つのシングルエンド信号Rx_c及びRx_tをΔTだけ遅延させた後、互いの基準として使用する(送信のみの場合と同じ方法で)。第1のシングルエンド信号Rx_cは、差動チャネルの片側から受信された信号を閾値電圧(VREF)と比較することによって生成され、第2のシングルエンド信号Rx_tは、差動チャネルの反対側から受信された信号を閾電圧(VREF)比較することによって生成される。信号の1つの劣化は、I/Oセンサによって検出される(両方の信号の劣化が同時に発生しないという仮定の下で)。これは、クロック又はストローブの対称性(Rx_c-riseからRx_t-rise)の連続測定に使用できる。
図14を参照すると、HBM3 3D ICパッケージにも特に適した差動相互接続送信チャネル(レーン)の劣化を監視するための本開示によるI/Oセンサの実装形態の概略回路図が示されている。これは、データ入力(D_in)を受信し、差動送信出力(cn、cp)を提供する差動送信バッファを使用する送信ストローブを示している。差動送信出力は各々、各々がデータ出力(Rx_c、Rx_t)を提供するそれぞれの(疑似)_差動受信バッファ(前述のように、代替的なタイプの受信バッファで実装できる)に提供される。この図は、2つのI/Oセンサの代わりに、単一のI/Oセンサ並びにRx_c及びRx_t信号の多重化配置を使用する方法も示している。これは、図13の配置の代わりに差動相互接続受信チャネルの劣化を監視するために、又は図11に示す配置の代わりに複数の送信専用信号相互接続チャネル(レーン)の劣化を監視するためにも用いることができる。
上で考察される一般化された意味に戻ると、半導体ICのI/Oブロックが考慮され得る(これは、本明細書に開示された他の態様と組み合わされ得る)。I/Oブロックは、半導体ICの相互接続された部分から電圧信号を受信し、受信バッファ出力を提供するように構成された受信バッファと、本明細書に開示されるI/Oセンサと、を備える。受信バッファ出力(論理レベルを示し得る)は、データ信号入力としてI/Oセンサの遅延回路に提供され得る。受信バッファは、差動(又は疑似差動)受信バッファであり得、受信電圧信号を電圧閾値入力と比較し、比較に基づいて、受信バッファ出力を提供するように更に構成され得る。
I/Oセンサは、有益には、クロック信号入力(本明細書の他の箇所で考察されるように、様々な方法で使用され得る)を受信するように更に構成されている。いくつかの実施形態では、受信電圧信号に関連付けられたクロック信号は、クロック信号入力として提供され得る。これは、双方向又は受信専用ピン若しくはレーンの測定及び/又は監視に使用され得る。
いくつかの実装形態では、(差動)受信バッファは、第1の(差動)受信バッファであり、半導体ICの第1の相互接続された部分から第1の電圧信号を受信し、第1の受信バッファ出力を提供するように構成されている。次いで、I/Oブロックは、半導体ICの第2の相互接続された部分から第2の電圧信号を受信し、任意選択的に、受信された第2の電圧信号を電圧閾値入力と比較し、必要に応じて、比較に基づいて第2の受信バッファ出力を提供するように構成された第2の(差動)受信バッファを更に備え得る。この場合、(固定又は調整可能な)遅延が適用された第2の受信バッファ出力は、クロック信号入力として提供され得る。これは、送信専用又は差動ピン若しくはレーンの測定及び/又は監視に使用され得る。例えば、半導体ICの第1及び第2の相互接続された部分は、差動チャネルを形成し得る(ただし、他の実施形態では、それらは、単に物理的及び/又は論理的に隣接し得る)。
特定の実施形態では、I/Oセンサは、第1のI/Oセンサであり、クロック信号入力は、第1のクロック信号入力である。次いで、I/Oブロックは、本明細書で開示される第2のI/Oセンサを更に備え得、第2の受信バッファ出力は、データ信号入力として遅延回路に提供される。第2のI/Oセンサは、第2のクロック信号入力を受信するように更に構成され得る。次いで、(固定又は調整可能な)遅延が適用された第1の受信バッファ出力は、第2のクロック信号入力として提供され得る。
他の実施形態では、単一のI/Oセンサを使用してマージンを測定し得る。次いで、I/Oブロックは、(a)第1の受信バッファ出力をデータ信号入力としてI/Oセンサに、かつ遅延が適用された第2の受信バッファ出力をクロック信号入力としてI/Oセンサに適用するか、又は、データ信号が、第2の状態要素入力信号として提供され、クロック信号が、第1及び第2のクロック入力として提供されるか、又は(b)第2の受信バッファ出力をデータ信号入力としてI/Oセンサに、かつ遅延が適用された第1の受信バッファ出力をクロック信号入力としてI/Oセンサに適用するように構成された多重化配置を更に備え得る。
任意選択的に、センサフェイル表示信号(ptrn_io_sensor_fail)を示す第5の状態要素(FF5)の出力をホールドするメカニズムがマスクされ得る。この選択肢は、データ及び/又はクロックが安定していない状況で、センサフェイル表示信号のロックを回避するために使用できる(不安定な時間間隔は、プロトコルによって定義され得る)。これは、制御信号を追加することによって実行され、第5の状態要素(FF5)によるサンプリングを有効にし、例えば、第5の状態要素(FF5)のリセット又はデータ入力を制御することができる。
一般的な意味で、ここで提供されるのは、マルチICモジュールか1つのICに物理的に存在する(埋め込まれている)I/Oセンサ、又はダイ間接続性監視システムであるか、又は、複数のそのようなセンサ/システムが、マルチICモジュールの複数のICに物理的に存在し、ICごとに1つ、又はICごとに複数のセンサが存在する場合もある。このようなセンサ/システムは、マルチICモジュールにおける任意のICの任意の相互接続された部分にとって有益であり得る。
この開示を通して、様々な実施形態が範囲形式で提示され得る。範囲形式での説明は、単に便宜上及び簡潔さのためのものであり、本発明の範囲に対する柔軟性のない制限として解釈されるべきではない、ということを理解されたい。したがって、範囲の説明は、その範囲内の個々の数値だけでなく、全ての可能な部分的範囲を具体的に開示していると見なされるべきである。例えば、1~6などの範囲の記述は、1~3、1~4、1~5、2~4、2~6、3~6などの部分的範囲、並びにその範囲内の個々の番号、例えば、1、2、3、4、5、及び6などを具体的に開示していると見なされるべきである。これは、範囲の幅に関係なく適用される。
本明細書で数値範囲が示されるときはいつでも、それは、示された範囲内の任意の引用された数字(分数又は整数)を含むことを意味する。第1の表示番号「と」第2の表示番号「との間の範囲にある/との間の範囲」、及び第1の表示番号「~(から)」第2の表示番号「までの」「範囲にある/範囲」という表現は、本明細書では互換的に使用され、第1及び第2の表示番号並びにそれらの間の全ての分数と整数を含むことを意味する。
本出願の説明及び特許請求の範囲において、「備える(comprise)」、「含む(include)」、及び「有する(have)」の各語、並びにそれらの形態は、必ずしもその語が関連付けられ得るリスト内の要素に限定されない。更に、本出願と参照により組み込まれる任意の文献との間に矛盾がある場合、本出願が優先することがここに意図される。
本開示における参照を明確にするために、単語を普通名詞、固有名詞、名前付き名詞などとして使用することは、本発明の実施形態が単一の実施形態に限定されることを示唆することを意図するものではなく、また開示された構成要素の多くの構成は本発明の一部の実施形態を説明するために使用することができるが、他の構成は、異なる構成でこれらの実施形態から導き出され得ることに留意されたい。
明確さを期すため、本明細書に説明される実装形態の慣例的な機能の全てが示され、説明されているわけではない。当然のことながら、任意のそのような実際の実装形態の開発では、アプリケーション関連及びビジネス関連の制約への準拠など、開発者の具体的な目標を達成するために、実装形態固有の多数の決定を行う必要があること、及びこれらの具体的な目標は、実装形態ごと、及び開発者ごとに異なることが理解されるべきである。更に、そのような開発努力は複雑で時間がかかり得るが、それにもかかわらず、本開示の利益を有する当業者にとってはエンジニアリングの日常業務であることが理解されるであろう。
本開示の教示に基づいて、当業者は本発明を容易に実施することができると予想される。本明細書で提供される様々な実施形態の説明は、当業者が本発明を実施することを可能にするために、本発明の十分な洞察及び詳細を提供すると考えられる。更に、上記の本発明の様々な特徴及び実施形態は、単独で、並びに様々な組み合わせで使用されることが具体的に企図されている。
従来及び/又は現代の回路設計及びレイアウトツールを使用して、本発明は実施され得る。本明細書に説明する具体的な実施形態、特に様々な回路配置、測定値及びデータフローは、例示的な実施形態の例示であり、本発明をそのような具体的な実装形態の選択に限定するものと見なされるべきではない。したがって、複数のインスタンスが、単一のインスタンスとして、本明細書に記載されている構成要素に対して、提供され得る。マージン及び/又は他のパラメータの判定は、例えば、構成の異なる部分で行われ得る。アイ幅以外のタイプのアイパラメータは、マージン測定値を使用して判定され得る。実際、場合によっては、アイパラメータをまったく計算する必要がない。任意選択的に、IOセンサを拡張して、クロック信号に第2の遅延線を実装することにより、データアイの両側を同時に測定することができる。
回路及び物理的構造が概説的に推定されているが、現代の半導体の設計と製造において、物理的構造と回路は、後続の設計、テスト、又は製造段階、並びにその結果として製造される半導体集積回路の使用に好適なコンピュータで読み取り可能な記述形態で具現化され得ることがよく認識されている。したがって、従来の回路又は構造を対象とする請求項は、その特定の文言と一致して、コンピュータで読み取り可能なエンコーディング(プログラムと呼ばれる場合がある)及びその表現を、対応する回路及び/又は構造の製造、テスト、又は設計の改良を可能にするために媒体で具体化されるか、好適な読み取り機能と組み合わされるかどうかにかかわらず、読み出し得る。例示的な構成において別個の構成要素として提示される構造及び機能は、組み合わされた構造又は構成要素として実装され得る。本発明は、回路、回路のシステム、関連する方法、並びにそのような回路、システム、及び方法のコンピュータ可読(媒体)エンコーディングを含むことが企図され、その全てが本明細書に記載され、添付の特許請求の範囲に定義される通りである。本明細書で使用されるコンピュータ可読媒体は、非一時的である少なくともディスク、テープ、又は他の磁気、光学、又は半導体(例えば、フラッシュメモリカード、ROM)媒体を含む。
前述の詳細な説明は、本発明の多くの可能な実装形態のうちのほんの一部を説明している。このため、この詳細な説明は、制限ではなく解説を目的としている。本明細書に開示される実施形態の変形及び修正は、本発明の範囲及び精神から逸脱することなく、本明細書に記載される説明に基づいて行われ得る。本発明の範囲を定義することが意図されているのは、全ての同等物を含む以下の特許請求の範囲のみである。特に、主な実施形態は3D ICの文脈で説明されているが、本発明の教示は、I/O回路を使用する他のタイプの半導体ICとともに使用するのに有利であると考えられる。更に、本明細書に記載の技術は、他のタイプの回路用途に適用され得る。したがって、他の変形、修正、追加、及び改善は、以下の特許請求の範囲で定義される本発明の範囲内に含まれ得る。
本発明の実施形態は、集積回路及び/又は集積回路に基づく製品を製造、生産、及び/又は組み立てるために使用され得る。
本発明の態様は、本発明の実施形態による方法、装置(システム)、及びコンピュータプログラム製品のフローチャート図及び/又はブロック図を参照して本明細書に記載される。フローチャート図及び/又はブロック図の各ブロック、並びにフローチャート図及び/又はブロック図のブロックの組み合わせは、コンピュータ可読プログラム命令によって実装することができることが理解されよう。
図中のフローチャート及びブロック図は、本発明の様々な実施形態によるシステム、方法、及びコンピュータプログラム製品の可能な実装形態のアーキテクチャ、機能、及び動作を示している。これに関して、フローチャート又はブロック図の各ブロックは、モジュール、セグメント、又は命令の一部を表すことができ、これは、指定された論理機能を実装するための1つ以上の実行可能命令を含む。いくつの代替的な実装形態では、ブロックに記されている機能は、図に記されている順序とは異なる順序で発生し得る。例えば、連続して示される2つのブロックは、実際には実質的に同時に実行され得るか、又はそれらのブロックは、関与する機能に応じて逆の順序で実行され得る。ブロック図及び/又はフローチャート図の各ブロック、並びにブロック図及び/又はフローチャート図のブロックの組み合わせは、指定された機能又は行為を実行するか、又は専用ハードウェアとコンピュータ命令の組み合わせを実行する専用ハードウェアベースのシステムによって実装することができることにも留意されたい。
本発明の様々な実施形態の説明は、例示の目的で提示されているが、網羅的であること、又は開示される実施形態に限定されることを意図するものでもない。説明された実施形態の範囲及び精神から逸脱することなく、多くの修正及び変形が当業者には明らかであろう。本明細書で使用される用語は、実施形態の原理、実際の適用、又は市場で見られる技術に対する技術的改善を最良に説明するために、又は当業者が本明細書に開示される実施形態を理解することができるようにするために選択された。

Claims (21)

  1. マルチIC(集積回路)モジュール用の入力/出力(I/O)センサであって、
    前記マルチICモジュールのICの相互接続された部分からデータ信号を受信するように、かつ遅延データ信号を生成するように構成された遅延回路であって、設定された持続時間だけ入力信号を遅延させるように構成された調整可能な遅延線を備える、遅延回路と、
    前記データ信号を前記遅延データ信号と比較することによって、比較信号を生成するように構成された比較回路と、
    前記調整可能な遅延線の前記持続時間を設定するように、かつ、前記比較信号に基づいて、相互接続品質パラメータを判定するために、前記データ信号のマージン測定値を識別するように構成された処理ロジックと、を備える、I/Oセンサ。
  2. 前記処理ロジックが、
    複数の持続時間の各々について、前記調整可能な遅延線を前記それぞれの持続時間に設定し、前記それぞれの持続時間の前記比較信号がパス又はフェイル状態のいずれを示すかを判定し、
    前記比較信号がフェイル状態を示す前記複数の持続時間から最小持続時間を識別するように構成されている、請求項1に記載のI/Oセンサ。
  3. 前記処理ロジックが、複数の測定サイクルの各々について、前記調整可能な遅延線を前記複数の持続時間の各々に設定し、前記最小持続時間を識別することを繰り返すように構成されており、前記処理ロジックが、前記複数の測定サイクルにわたる最低の最小持続時間、前記複数の測定サイクルにわたる最高の最小持続時間、及び前記複数の測定サイクルにわたる最小持続時間の合計のうちの1つ以上を判定するように更に構成されている、請求項2に記載のI/Oセンサ。
  4. 前記相互接続品質パラメータが、アイパターンパラメータ、マイクロバンプ抵抗パラメータ、体系的な効果パラメータ、及び差動信号の対称性を示すパラメータのうちの1つ以上を含む、先行請求項のいずれか一項に記載のI/Oセンサ。
  5. 前記マージン測定値が、クロック立ち上がりエッジまでのデータ信号セットアップ時間、クロック立ち下がりエッジまでのデータ信号セットアップ時間、クロック立ち上がりエッジまでのデータ信号ホールド時間、及びクロック立ち下がりエッジまでのデータ信号ホールド時間のうちの1つ以上を含む、先行請求項のいずれか一項に記載のI/Oセンサ。
  6. 前記比較回路が、XORゲートを備え、かつ/又は前記調整可能な遅延線が、少なくとも1psの分解能及び/若しくは持続時間について少なくとも16個の構成を有する、先行請求項のいずれか一項に記載のI/Oセンサ。
  7. 前記データ信号が、前記調整可能な遅延線への入力信号として提供され、前記データ信号及び前記遅延データ信号が、クロック信号に従ってサンプリングされ、前記マージン測定値が、データ信号セットアップ時間に関連するか、又は、データ信号が、前記クロック信号に従ってサンプリングされ、前記クロック信号が、前記調整可能な遅延線への入力信号として提供されて、遅延クロック信号を提供し、前記遅延データ信号が、前記遅延クロック信号に従ってサンプリングされた前記データ信号であり、前記マージン測定値が、データ信号ホールド時間に関連する、先行請求項のいずれか一項に記載のI/Oセンサ。
  8. 前記遅延回路が、
    第1の状態要素入力信号を受信するように、かつ前記第1の状態要素入力信号及び第1のクロック入力に基づいて、第1の状態要素出力を提供するように構成された第1の状態要素と、
    第2の状態要素入力信号を受信するように、かつ前記第2の状態要素入力信号及び第2のクロック入力に基づいて、第2の状態要素出力を提供するように構成された第2の状態要素と、
    選択的に、(i)前記データ信号を前記入力信号として前記調整可能な遅延線に適用し、前記調整可能な遅延線の出力が、前記第1の状態要素入力信号として提供され、前記データ信号が、前記第2の状態要素入力信号として提供され、クロック信号が、前記第1及び第2のクロック入力として提供されるか、又は(ii)前記クロック信号を前記入力信号として前記調整可能な遅延線に適用し、前記調整可能な遅延線の出力が、前記第1のクロック入力として提供され、前記データ信号が、前記第1及び第2の状態要素入力信号として提供され、前記クロック信号が、前記第2のクロック入力として提供されるように構成された多重化配置と、を更に備える、先行請求項のいずれか一項に記載のI/Oセンサ。
  9. 前記多重化配置が、前記クロック信号として、(a)正クロック信号、又は(b)反転された前記正のクロック信号である負クロック信号を選択的に適用するように更に構成されている、請求項8に記載のI/Oセンサ。
  10. 複数のI/Oセンサを備える、入力/出力(I/O)ブロックであって、各I/Oセンサが、先行請求項のいずれか一項に記載のものであり、前記マルチICモジュールの前記ICの相互接続された部分からそれぞれ異なるデータ信号を受信するように構成されている、I/Oブロック。
  11. 前記複数のI/Oセンサの各々の前記処理ロジックが、共通の制御ブロックに位置する、請求項10に記載のI/Oブロック。
  12. 各I/Oセンサが、前記マルチICモジュールの前記ICの前記相互接続された部分の異なるピンからそれぞれのデータ信号を受信し、他のモジュールと並行して、前記それぞれのピンのマージン測定値を識別するように構成されている、請求項10又は請求項11に記載のI/Oブロック。
  13. マルチIC(集積回路)モジュール用の入力/出力(I/O)ブロックであって、
    前記マルチICモジュールのICの相互接続された部分から電圧信号を受信し、受信バッファ出力を提供するように構成された受信バッファと、
    請求項1~9のいずれか一項に記載のI/Oセンサであって、前記受信バッファ出力が、前記遅延回路への前記データ信号入力として提供される、I/Oセンサと、を備える、I/Oブロック。
  14. 前記I/Oセンサが、クロック信号入力を受信するように更に構成されており、前記受信電圧信号に関連付けられたクロック信号が、前記クロック信号入力として提供される、請求項13に記載のI/Oブロック。
  15. 前記受信バッファが、第1の受信バッファであり、前記半導体ICの第1の相互接続された部分から第1の電圧信号を受信し、第1の受信バッファ出力を提供するように構成されており、前記I/Oセンサが、クロック信号入力を受信するように更に構成されており、前記I/Oブロックが、
    前記半導体ICの第2の相互接続された部分から第2の電圧信号を受信し、第2の受信バッファ出力を提供するように構成されている、第2の受信バッファを更に備え、
    遅延が適用された前記第2の受信バッファ出力が、前記クロック信号入力として提供される、請求項13に記載のI/Oブロック。
  16. 前記I/Oセンサが、第1のI/Oセンサであり、前記クロック信号入力が、第1のクロック信号入力であり、前記I/Oブロックが、
    請求項1~9のいずれか一項に記載の第2のI/Oセンサであって、前記第2の受信バッファ出力が、前記遅延回路への前記データ信号入力として提供され、前記第2のI/Oセンサが、第2のクロック信号入力を受信するように更に構成されている、第2のI/Oセンサを更に備え、
    遅延が適用された前記第1の受信バッファ出力が、前記第2のクロック信号入力として提供される、請求項15に記載のI/Oブロック。
  17. 選択的に、(a)前記第1の受信バッファ出力を前記データ信号入力として前記I/Oセンサに、かつ遅延が適用された前記第2の受信バッファ出力を前記クロック信号入力としてI/Oセンサに適用するか、又は(b)前記第2の受信バッファ出力を前記データ信号入力として前記I/Oセンサに、かつ遅延が適用された前記第1の受信バッファ出力を前記クロック信号入力として前記I/Oセンサに適用するように構成された多重化配置を更に備える、請求項15に記載のI/Oブロック。
  18. 前記半導体ICの前記第1及び第2の相互接続された部分が、差動チャネルを形成する、請求項16又は請求項17に記載のI/Oブロック。
  19. 前記I/Oブロックが、前記マルチICモジュールの前記IC上に位置し、前記識別されたマージン測定値を格納するために、かつ/又は、相互接続品質パラメータを判定するために外部プロセッサとインターフェースするように構成されている、請求項10~18のいずれか一項に記載のI/Oブロック。
  20. 複数のI/Oブロックを備え、各I/Oブロックが、請求項10~19のいずれか一項に記載のものであり、前記複数のI/Oブロックが、共通I/Oコントローラによって制御される、入力/出力(I/O)監視システム。
  21. マルチICモジュールの一部であり、請求項10~19のいずれか一項に記載の入力/出力(I/O)ブロック、又は請求項20に記載の入力/出力(I/O)監視システムを備える、集積回路(IC)。
JP2022563081A 2020-04-20 2021-03-02 ダイ間接続性監視 Pending JP2023521500A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063012457P 2020-04-20 2020-04-20
US63/012,457 2020-04-20
PCT/IB2021/051725 WO2021214562A1 (en) 2020-04-20 2021-03-02 Die-to-die connectivity monitoring

Publications (2)

Publication Number Publication Date
JP2023521500A true JP2023521500A (ja) 2023-05-24
JPWO2021214562A5 JPWO2021214562A5 (ja) 2024-03-01

Family

ID=78081142

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022563081A Pending JP2023521500A (ja) 2020-04-20 2021-03-02 ダイ間接続性監視

Country Status (7)

Country Link
US (2) US11293977B2 (ja)
EP (1) EP4139697A4 (ja)
JP (1) JP2023521500A (ja)
KR (1) KR20230003545A (ja)
CN (1) CN115461632A (ja)
IL (1) IL297427A (ja)
TW (1) TW202204918A (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017217719A1 (de) * 2017-10-05 2019-04-11 Robert Bosch Gmbh Vorrichtung und Verfahren zur Überwachung eines Sensortaktsignals in einer Sensoreinheit
JP2023521500A (ja) * 2020-04-20 2023-05-24 プロテアンテックス リミテッド ダイ間接続性監視
US11815551B1 (en) * 2022-06-07 2023-11-14 Proteantecs Ltd. Die-to-die connectivity monitoring using a clocked receiver
CN116825170B (zh) * 2023-08-31 2023-11-07 芯砺智能科技(上海)有限公司 晶粒到晶粒互连的自动校准架构和芯片

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU685950B2 (en) 1994-06-25 1998-01-29 Panalytical B.V. Analysing a material sample
US5818251A (en) 1996-06-11 1998-10-06 National Semiconductor Corporation Apparatus and method for testing the connections between an integrated circuit and a printed circuit board
US5966527A (en) 1996-10-28 1999-10-12 Advanced Micro Devices, Inc. Apparatus, article of manufacture, method and system for simulating a mass-produced semiconductor device behavior
US5956497A (en) 1997-02-26 1999-09-21 Advanced Micro Devices, Inc. Methodology for designing an integrated circuit using a reduced cell library for preliminary synthesis
US5774403A (en) 1997-06-12 1998-06-30 Hewlett-Packard PVT self aligning internal delay line and method of operation
US6182253B1 (en) 1997-07-16 2001-01-30 Tanisys Technology, Inc. Method and system for automatic synchronous memory identification
US5895629A (en) 1997-11-25 1999-04-20 Science & Technology Corp Ring oscillator based chemical sensor
US6219813B1 (en) * 1998-06-29 2001-04-17 International Business Machines Corporation Programmable timing circuit for testing the cycle time of functional circuits on an integrated circuit chip
US6643787B1 (en) * 1999-10-19 2003-11-04 Rambus Inc. Bus system optimization
US7067335B2 (en) 2000-08-25 2006-06-27 Kla-Tencor Technologies Corporation Apparatus and methods for semiconductor IC failure detection
US6873926B1 (en) * 2001-02-27 2005-03-29 Cisco Technology, Inc. Methods and apparatus for testing a clock signal
US8417477B2 (en) 2001-05-24 2013-04-09 Test Acuity Solutions, Inc. Methods and apparatus for local outlier detection
US7076678B2 (en) * 2002-02-11 2006-07-11 Micron Technology, Inc. Method and apparatus for data transfer
US6882172B1 (en) 2002-04-16 2005-04-19 Transmeta Corporation System and method for measuring transistor leakage current with a ring oscillator
US6880136B2 (en) 2002-07-09 2005-04-12 International Business Machines Corporation Method to detect systematic defects in VLSI manufacturing
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US6683484B1 (en) 2002-12-19 2004-01-27 Lsi Logic Corporation Combined differential and single-ended input buffer
US7369893B2 (en) 2004-12-01 2008-05-06 Medtronic, Inc. Method and apparatus for identifying lead-related conditions using prediction and detection criteria
US7512503B2 (en) 2003-05-12 2009-03-31 Simmonds Precision Products, Inc. Wire fault detection
US7254507B2 (en) 2003-08-27 2007-08-07 Matsushita Electric Industrial Co., Ltd. Analog circuit automatic calibration system
US7742887B2 (en) 2003-11-24 2010-06-22 Qualcomm Incorporated Identifying process and temperature of silicon chips
US6948388B1 (en) 2003-12-18 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy Wireless remote sensor
US20060049886A1 (en) 2004-09-08 2006-03-09 Agostinelli Victor M Jr On-die record-of-age circuit
US7443189B2 (en) 2005-02-02 2008-10-28 Texas Instruments Incorporated Method to detect and predict metal silicide defects in a microelectronic device during the manufacture of an integrated circuit
US7501832B2 (en) 2005-02-28 2009-03-10 Ridgetop Group, Inc. Method and circuit for the detection of solder-joint failures in a digital electronic package
KR100703976B1 (ko) 2005-08-29 2007-04-06 삼성전자주식회사 동기식 메모리 장치
US20070182456A1 (en) 2005-11-21 2007-08-09 Texas Instruments Incorporated Reducing Pin Count When the Digital Output is to be Provided in Differential or Single-ended Form
JP4843034B2 (ja) 2006-06-09 2011-12-21 富士通株式会社 温度センサ用リングオシレータ、温度センサ回路及びこれを備える半導体装置
US7908538B2 (en) 2006-08-24 2011-03-15 Nec Corporation Failure prediction circuit and method, and semiconductor integrated circuit
US7649559B2 (en) 2006-08-30 2010-01-19 Aptina Imaging Corporation Amplifier offset cancellation devices, systems, and methods
US7415387B2 (en) 2006-12-19 2008-08-19 Qimonda North America Corp. Die and wafer failure classification system and method
US20080144243A1 (en) 2006-12-19 2008-06-19 Ridgetop Group, Inc. Method and circuit for low-power detection of solder-joint network failures in digital electronic packages
US7474974B2 (en) 2007-01-31 2009-01-06 Mcgill University Embedded time domain analyzer for high speed circuits
US7560945B2 (en) 2007-02-06 2009-07-14 International Business Machines Corporation Integrated circuit failure prediction
US7936153B2 (en) 2007-02-06 2011-05-03 International Business Machines Corporation On-chip adaptive voltage compensation
US7877657B1 (en) 2007-03-29 2011-01-25 Integrated Device Technology, Inc. Look-ahead built-in self tests
US20080262769A1 (en) 2007-04-23 2008-10-23 Daniel Kadosh Using multivariate health metrics to determine market segment and testing requirements
US8310265B2 (en) 2007-05-02 2012-11-13 Nxp B.V. IC testing methods and apparatus
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
US8010310B2 (en) 2007-07-27 2011-08-30 Advanced Micro Devices, Inc. Method and apparatus for identifying outliers following burn-in testing
US8132136B2 (en) * 2007-08-06 2012-03-06 International Business Machines Corporation Dynamic critical path detector for digital logic circuit paths
JP2009065533A (ja) 2007-09-07 2009-03-26 Renesas Technology Corp ジッタ検出回路及び半導体装置
JP4977045B2 (ja) 2008-01-16 2012-07-18 株式会社東芝 半導体集積回路及び半導体装置
US8912990B2 (en) 2008-04-21 2014-12-16 Apple Inc. Display having a transistor-degradation circuit
US8499230B2 (en) 2008-05-07 2013-07-30 Lsi Corporation Critical path monitor for an integrated circuit and method of operation thereof
US8204730B2 (en) 2008-06-06 2012-06-19 Synopsys, Inc. Generating variation-aware library data with efficient device mismatch characterization
KR101226404B1 (ko) 2008-06-09 2013-01-24 가부시키가이샤 어드밴티스트 반도체 집적 회로 및 시험 장치
US8086978B2 (en) 2008-06-20 2011-12-27 Cadence Design Systems, Inc. Method and system for performing statistical leakage characterization, analysis, and modeling
JP2010161692A (ja) * 2009-01-09 2010-07-22 Nikon Systems Inc データ転送装置及びカメラ
US8138840B2 (en) 2009-01-23 2012-03-20 International Business Machines Corporation Optimal dithering of a digitally controlled oscillator with clock dithering for gain and bandwidth control
KR101312978B1 (ko) 2009-01-27 2013-10-01 에이저 시스템즈 엘엘시 성능 모니터링을 위한 임계―경로 회로
US8365115B2 (en) 2009-03-06 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for performance modeling of integrated circuits
US8547131B2 (en) 2009-04-03 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for observing threshold voltage variations
US8825158B2 (en) 2009-08-25 2014-09-02 Lamda Nu, Llc Method and apparatus for detection of lead conductor anomalies using dynamic electrical parameters
US8271931B2 (en) 2009-10-20 2012-09-18 Synopsys, Inc. Integrated circuit optimization modeling technology
US8154353B2 (en) 2009-11-03 2012-04-10 Arm Limited Operating parameter monitor for an integrated circuit
US8228106B2 (en) 2010-01-29 2012-07-24 Intel Mobile Communications GmbH On-chip self calibrating delay monitoring circuitry
JP5418408B2 (ja) 2010-05-31 2014-02-19 富士通株式会社 シミュレーションパラメータ校正方法、装置及びプログラム
JP2012007978A (ja) 2010-06-24 2012-01-12 On Semiconductor Trading Ltd 半導体集積回路
US8680874B2 (en) 2010-07-30 2014-03-25 Imec On-chip testing using time-to-digital conversion
US8384430B2 (en) 2010-08-16 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. RC delay detectors with high sensitivity for through substrate vias
US8418103B2 (en) 2010-09-23 2013-04-09 Synopsys, Inc. Nonlinear approach to scaling circuit behaviors for electronic design automation
US8422303B2 (en) 2010-12-22 2013-04-16 HGST Netherlands B.V. Early degradation detection in flash memory using test cells
US8471567B2 (en) 2011-02-25 2013-06-25 Raytheon Company Circuit for detection of failed solder-joints on array packages
US9448125B2 (en) 2011-11-01 2016-09-20 Nvidia Corporation Determining on-chip voltage and temperature
KR101996292B1 (ko) 2012-03-30 2019-07-05 에스케이하이닉스 주식회사 클럭 생성 회로
US8896978B2 (en) 2012-06-15 2014-11-25 Texas Instruments Incorporated Integrated circuit with automatic deactivation upon exceeding a specific ion linear energy transfer (LET) value
US9714966B2 (en) 2012-10-05 2017-07-25 Texas Instruments Incorporated Circuit aging sensor
US9329229B2 (en) 2012-11-15 2016-05-03 Freescale Semiconductors, Inc. Integrated circuit with degradation monitoring
US9710012B2 (en) * 2012-11-21 2017-07-18 Sandisk Technologies Llc Timing optimized implementation of algorithm to reduce switching rate on high throughput wide buses
US9494649B2 (en) 2012-12-31 2016-11-15 Advanced Micro Devices, Inc. Adaptive digital delay line for characterization of clock uncertainties
US9267988B2 (en) * 2013-03-14 2016-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip eye diagram capture
US9702769B2 (en) 2013-06-11 2017-07-11 Intel Corporation Self-calibrated thermal sensors of an integrated circuit die
US9500705B2 (en) 2013-08-28 2016-11-22 Wisconsin Alumni Research Foundation Integrated circuit providing fault prediction
KR102124966B1 (ko) * 2013-08-30 2020-06-22 에스케이하이닉스 주식회사 반도체 장치 및 반도체 장치의 동작방법
US9411668B2 (en) 2014-01-14 2016-08-09 Nvidia Corporation Approach to predictive verification of write integrity in a memory driver
KR20150096197A (ko) 2014-02-14 2015-08-24 삼성전자주식회사 반도체 집적회로의 누설전류 측정 회로
JP2016005085A (ja) 2014-06-16 2016-01-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置およびそれを用いる電子装置の製造方法
KR102232922B1 (ko) 2014-08-11 2021-03-29 삼성전자주식회사 쓰기 보조 회로를 포함하는 스태틱 랜덤 액세스 메모리 장치
US10067000B2 (en) 2014-12-01 2018-09-04 Mediatek Inc. Inverter and ring oscillator with high temperature sensitivity
US10026712B2 (en) 2014-12-02 2018-07-17 Texas Instruments Incorporated ESD protection circuit with stacked ESD cells having parallel active shunt
KR102280526B1 (ko) 2014-12-08 2021-07-21 삼성전자주식회사 저전력 작은-면적 고속 마스터-슬레이브 플립-플롭 회로와, 이를 포함하는 장치들
US9760672B1 (en) 2014-12-22 2017-09-12 Qualcomm Incorporated Circuitry and method for critical path timing speculation to enable process variation compensation via voltage scaling
CA2972973A1 (en) 2015-01-09 2016-07-14 Ecorithm, Inc. Machine learning-based fault detection system
US9424952B1 (en) 2015-02-07 2016-08-23 The Trustees Of Columbia University In The City Of New York Circuits, methods, and media for detecting and countering aging degradation in memory cells
US9564883B1 (en) 2015-04-13 2017-02-07 Qualcomm Incorporated Circuitry and method for timing speculation via toggling functional critical paths
US9564884B1 (en) 2015-04-13 2017-02-07 Qualcomm Incorporated Circuitry and method for measuring negative bias temperature instability (NBTI) and hot carrier injection (HCI) aging effects using edge sensitive sampling
US9536038B1 (en) 2015-04-13 2017-01-03 Qualcomm Incorporated Method and algorithm for functional critical paths selection and critical path sensors and controller insertion
US10527503B2 (en) 2016-01-08 2020-01-07 Apple Inc. Reference circuit for metrology system
US10161967B2 (en) 2016-01-09 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip oscilloscope
US9843439B2 (en) 2016-01-27 2017-12-12 Ciena Corporation System and method for managing holdover
US20170364818A1 (en) 2016-06-17 2017-12-21 Business Objects Software Ltd. Automatic condition monitoring and anomaly detection for predictive maintenance
US20190019096A1 (en) 2017-01-27 2019-01-17 Mitsubishi Hitachi Power Systems, Ltd. Estimator, estimation method, program and storage medium where program stored for model parameter estimation and model parameter estimation system
US10380879B2 (en) 2017-06-14 2019-08-13 Allegro Microsystems, Llc Sensor integrated circuits and methods for safety critical applications
JP7130757B2 (ja) 2017-11-15 2022-09-05 プロテアンテクス リミテッド 集積回路マージン測定および故障予測装置
US11391771B2 (en) 2017-11-23 2022-07-19 Proteantecs Ltd. Integrated circuit pad failure detection
US11408932B2 (en) 2018-01-08 2022-08-09 Proteantecs Ltd. Integrated circuit workload, temperature and/or subthreshold leakage sensor
US10530347B2 (en) * 2018-03-23 2020-01-07 Sandisk Technologies Llc Receiver-side setup and hold time calibration for source synchronous systems
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
CN108534866A (zh) 2018-06-06 2018-09-14 珠海市洁源电器有限公司 一种可隔空监测液位的高灵敏度高精度电子液位感应器
CN112868016A (zh) 2018-06-19 2021-05-28 普罗泰克斯公司 高效集成电路模拟与测试
JP7419380B2 (ja) 2018-12-30 2024-01-22 プロテアンテックス リミテッド 集積回路i/oの完全性および劣化監視
EP3970056A4 (en) 2019-05-13 2023-06-14 Proteantecs Ltd. DETERMINATION OF UNKNOWN POLARIZATION AND DEVICE PARAMETERS OF INTEGRATED CIRCUITS BY MEASUREMENT AND SIMULATION
US20220268644A1 (en) 2019-07-29 2022-08-25 Proteantecs Ltd. On-die thermal sensing network for integrated circuits
WO2021214562A1 (en) 2020-04-20 2021-10-28 Proteantecs Ltd. Die-to-die connectivity monitoring
JP2023521500A (ja) * 2020-04-20 2023-05-24 プロテアンテックス リミテッド ダイ間接続性監視
US11081193B1 (en) * 2020-06-16 2021-08-03 Sandisk Technologies Llc Inverter based delay chain for calibrating data signal to a clock
WO2022009199A1 (en) 2020-07-06 2022-01-13 Proteantecs Ltd. Integrated circuit margin measurement for structural testing

Also Published As

Publication number Publication date
CN115461632A (zh) 2022-12-09
US20220229107A1 (en) 2022-07-21
KR20230003545A (ko) 2023-01-06
US20210325455A1 (en) 2021-10-21
EP4139697A1 (en) 2023-03-01
TW202204918A (zh) 2022-02-01
EP4139697A4 (en) 2024-05-22
IL297427A (en) 2022-12-01
US11293977B2 (en) 2022-04-05

Similar Documents

Publication Publication Date Title
WO2021214562A1 (en) Die-to-die connectivity monitoring
JP2023521500A (ja) ダイ間接続性監視
US11567120B2 (en) Memory controller with integrated test circuitry
JP7419380B2 (ja) 集積回路i/oの完全性および劣化監視
TWI596352B (zh) 具有用於輸入/輸出(i/o)交流電(ac)時序之以工作週期爲基礎的時序界限之方法、電路裝置及系統
US7139957B2 (en) Automatic self test of an integrated circuit component via AC I/O loopback
US20070016835A1 (en) Method and apparatus for parameter adjustment, testing, and configuration
WO2007136977A2 (en) Methods and apparatus for testing delay locked loops and clock skew
US8378699B2 (en) Self-test method for interface circuit
US11815551B1 (en) Die-to-die connectivity monitoring using a clocked receiver
JP5074300B2 (ja) 半導体装置
US20070046309A1 (en) Testing system and method allowing adjustment of signal transmit timing
US12013800B1 (en) Die-to-die and chip-to-chip connectivity monitoring
US20240038602A1 (en) Die-to-die connectivity monitoring with a clocked receiver
TW202413976A (zh) 以時脈接收器監控晶粒對晶粒的連接性
Kim BIST methodology for low-cost parametric timing measurement of high-speed source synchronous interfaces

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240206

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240221