JP2023521251A - 刺激応答性ポリマー膜の制御された分解 - Google Patents

刺激応答性ポリマー膜の制御された分解 Download PDF

Info

Publication number
JP2023521251A
JP2023521251A JP2022568696A JP2022568696A JP2023521251A JP 2023521251 A JP2023521251 A JP 2023521251A JP 2022568696 A JP2022568696 A JP 2022568696A JP 2022568696 A JP2022568696 A JP 2022568696A JP 2023521251 A JP2023521251 A JP 2023521251A
Authority
JP
Japan
Prior art keywords
srp
reactant
chamber
compound
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022568696A
Other languages
English (en)
Other versions
JP7395773B2 (ja
Inventor
シラード・スティーブン・エム.
ブラックート・グレゴリー
ハイメス・ダイアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023521251A publication Critical patent/JP2023521251A/ja
Application granted granted Critical
Publication of JP7395773B2 publication Critical patent/JP7395773B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2/00Addition polymers of aldehydes or cyclic oligomers thereof or of ketones; Addition copolymers thereof with less than 50 molar percent of other substances
    • C08G2/14Polymerisation of single aldehydes not provided for in groups C08G2/08 - C08G2/12
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2/00Addition polymers of aldehydes or cyclic oligomers thereof or of ketones; Addition copolymers thereof with less than 50 molar percent of other substances
    • C08G2/18Copolymerisation of aldehydes or ketones
    • C08G2/20Copolymerisation of aldehydes or ketones with other aldehydes or ketones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【解決手段】基板から刺激応答性ポリマー(SRP)を除去することは、制御された分解を含む。本明細書に記載の方法の特定の実施形態では、SRPsを除去することは、反応してSRPの分解を誘発し得る酸または塩基を形成する2つの反応物への曝露を含む。曝露は、より正確なトップダウン制御を提供するために順次行われる。いくつかの実施形態では、方法は、化合物、または反応して化合物を形成する反応物を、SRPの上部のみに拡散させることを含む。その後、残りのSRPが無傷の状態のままで、上部を分解および除去する。曝露および除去サイクルは、繰り返される。【選択図】図3

Description

[参照による援用]
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体が、あらゆる目的で本明細書に組み込まれる。
半導体デバイスの微細化が進むにつれ、所望のデバイス性能を達成するために、より高いアスペクト比構造が使用される。半導体デバイスの製造では、材料堆積、平坦化、フィーチャのパターニング、フィーチャのエッチング、およびフィーチャの洗浄などのプロセスが複数回繰り返される。より高いアスペクト比構造への移行により、これらの従来の製造工程の多くに処理上の課題が生じる。エッチングおよび洗浄などのウェットプロセスは、プロセスフロー全体の25%超を占める場合があり、乾燥中に毛管力が発生するため、高アスペクト比(HAR)フィーチャでは特に困難を生じる。これらの毛管力の強さは、乾燥させるエッチング液、洗浄液、またはリンス液の表面張力と接触角、ならびにフィーチャの間隔とアスペクト比に依存する。乾燥中に発生する力が大きすぎると、高アスペクト比フィーチャが互いに崩れ、スティクションが発生する場合がある。フィーチャの崩れおよびスティクションにより、デバイスの歩留まりが著しく低下する。
本明細書に提示された背景技術の説明は、本開示の内容の概要を提示することを目的とする。本背景技術のセクションにて説明される範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
基板から刺激応答性ポリマー(SRP)を除去することは、制御された分解を含む。本明細書に記載の方法の特定の実施形態では、SRPを除去することは、反応して、SRPの分解を誘発し得る酸または塩基を形成する2つの反応物への曝露を含む。曝露は、より正確なトップダウン制御を提供するために順次行われる。いくつかの実施形態では、前記方法は、化合物、または反応して化合物を形成する反応物を、SRPの上部のみに拡散させることを含む。その後、残りのSRPが無傷の状態のままで、上部を分解および除去する。曝露および除去サイクルは、繰り返される。
本開示の一態様は、チャンバに、高アスペクト比(HAR)構造のフィーチャ間に形成されている高アスペクト比の間隙に刺激応答性ポリマー(SRP)を有するHAR構造を提供し、高アスペクト比の間隙は全厚Ttotalを有し、その間隙からSRPを除去する1回または複数回のサイクルを実行し、各サイクルは、
(a)第1の反応物がTtotal未満の深さのみ間隙内に拡散するように、第1の反応物をチャンバにパルス化し、
(b)(a)の後、チャンバをパージし、
(c)(b)の後、第2の反応物がTtotal未満の深さのみその間隙内に拡散するように、第2の反応物をチャンバにパルス化し、
(d)SRPを分解する化合物を形成するために、第1の反応物と第2の反応物とを反応させ、
(e)SRPの厚さをTtotal未満まで分解し、
(f)分解されたSRPを除去すること
を含む方法に関する。
いくつかの実施形態では、SRPは、ホモポリマーとして、もしくはコポリマーのポリマーのうちの1つとして、ポリ(フタルアルデヒド)またはその誘導体を含む。いくつかの実施形態では、SRPは、ホモポリマーとして、もしくはコポリマーのポリマーのうちの1つとして、ポリ(アルデヒド)またはその誘導体を含む。
いくつかの実施形態では、第1または第2の反応物は、水蒸気である。いくつかのこのような実施形態では、第1または第2の反応物の他方は、アンモニア、または水蒸気と反応して酸性もしくは塩基性の種になる気体酸化物である。気体酸化物の例としては、二酸化窒素、二酸化硫黄、および二酸化炭素が挙げられる。
いくつかの実施形態では、(a)および(c)の目標拡散深さは、同じである。いくつかの実施形態では、(a)および(c)の目標拡散深さは、異なる。いくつかの実施形態では、(d)の反応は、無触媒である。いくつかの実施形態では、化合物は、酸または塩基である。例としては、亜硫酸、硝酸、炭酸、および水酸化アンモニウムが挙げられる。
本開示の別の態様は、チャンバに、高アスペクト比(HAR)構造のフィーチャ間に形成されている高アスペクト比の間隙に刺激応答性ポリマー(SRP)を有するHAR構造を提供し、SRP膜は全厚Ttotalを有し、その間隙からSRPを除去する1回または複数回のサイクルを実行し、各サイクルは、
(a)第1の反応物が間隙内に深さDfirst reactantまで拡散するように、第1の反応物をチャンバにパルス化し、
(b)(a)の後、チャンバをパージし、
(c)(b)の後、第2の反応物が深さDsecond reactantのみその間隙内に拡散するように、第2の反応物をチャンバにパルス化し、Dsecond reactantは、Dfirst reactant未満であり、
(d)SRPを分解する化合物を形成するために、第1の反応物と第2の反応物とを反応させ、
(e)SRPを深さDsecond reactantまで分解し、
(f)分解されたSRPを除去すること
を含む、方法に関する。
いくつかの実施形態では、SRPは、ホモポリマーとして、もしくはコポリマーのポリマーのうちの1つとしてポリ(フタルアルデヒド)またはその誘導体を含む。いくつかの実施形態では、SRPは、ホモポリマーとして、もしくはコポリマーのポリマーのうちの1つとしてポリ(アルデヒド)またはその誘導体を含む。
いくつかの実施形態では、第1または第2の反応物は、水蒸気である。いくつかのこのような実施形態では、第1または第2の反応物の他方は、アンモニア、または水蒸気と反応して酸性もしくは塩基性の種になる気体酸化物である。気体酸化物の例としては、二酸化窒素、二酸化硫黄、および二酸化炭素が挙げられる。
いくつかの実施形態では、Dfirst reactantは、第1の反応物が1回のサイクルでSRP膜の全厚にわたって拡散されるように、Ttotalに等しい。いくつかの実施形態では、Dfirst reactantは、Ttotal未満で、複数回のサイクルが実行される。いくつかの実施形態では、(d)の反応は、無触媒である。いくつかの実施形態では、化合物は、酸または塩基である。例としては、亜硫酸、硝酸、炭酸、および水酸化アンモニウムが挙げられる。
本開示の別の態様は、
刺激応答性ポリマー(SRP)をその上に有する基板を提供し、
複数回の除去サイクルを実行し、各サイクルは、
SRPの上部のみを、SRPを分解できる化合物に曝露し、それによって、SRPの上部を分解し、
SRPの上部のみを除去すること
を含む方法に関する。
いくつかの実施形態では、SRPは、触媒を用いることなく提供される。いくつかの実施形態では、SRPの上部を化合物に曝露することは、基板を収容するチャンバ内に気相中の化合物をパルス化することを含む。化合物の例としては、臭化水素(HBr)、塩化水素(HCl)、フッ化水素(HF)、ヨウ化水素(HI)、硝酸(HNO3)、ギ酸(CH22)、酢酸(CH3COOH)、シアン化水素(HCN)、またはアンモニア(NH3)、およびメチルもしくはエチルアミンガスが挙げられる。
いくつかの実施形態では、SRPの上部を曝露することは、第1の反応物および第2の反応物を順次パルス化することを含み、第1の反応物および第2の反応物が反応して、化合物を形成する。いくつかのこのような実施形態では、第1の反応物および第2の反応物は、SRP膜の上部で反応する。いくつかの実施形態では、SRPの上部を曝露することは、第1の反応物の第1のパルスに続いて、第2の反応物の複数回の連続したパルスを含み、第1の反応物および第2の反応物が反応して、化合物を形成する。いくつかの実施形態では、連続したパルスは、不活性ガスのパージによって分離される。
いくつかの実施形態では、SRPは、ホモポリマーとして、もしくはコポリマーのポリマーのうちの1つとしてポリ(フタルアルデヒド)またはその誘導体を含む。いくつかの実施形態では、SRPは、ホモポリマーとして、もしくはコポリマーのポリマーのうちの1つとしてポリ(アルデヒド)またはその誘導体を含む。
いくつかの実施形態では、SRPは、高アスペクト比(HAR)構造のフィーチャ間に提供される。いくつかの実施形態では、SRPは、基板上の保護コーティングとして提供される。
これらおよび他の態様は、図面を参照して以下に詳述される。
図1Aは、刺激応答性ポリマー(SRPs)を使用する例示的な半導体製造プロセスにおいて特定の動作を示すフロー図である。 図1Bは、刺激応答性ポリマー(SRPs)を使用する例示的な半導体製造プロセスにおいて特定の動作を示すフロー図である。
図2Aは、SRPが一回の除去で十分に除去され、構造フィーチャが崩れている高アスペクト比(HAR)構造の一例の側断面図を示す。
図2Bは、崩れないようにSRPの除去が制御されている高アスペクト比(HAR)構造の一例の側断面図を示す。
図3は、SRPを分解するための制御された曝露の方法の例を示すプロセスフロー図である。 図4は、SRPを分解するための制御された曝露の方法の例を示すプロセスフロー図である。
図5は、図4の方法の様々な実施形態によるHAR構造からSRPを除去する側断面図のシーケンスを示す。
図6は、SRPを分解するための制御された曝露の方法の一例を示すプロセスフロー図である。
図7は、図6の方法の様々な実施形態によるHAR構造からSRPを除去する側断面図のシーケンスを示す。
図8は、本開示による複数の基板処理ツールおよびストレージバッファを含む基板処理システムの一例の機能ブロック図である。
刺激応答性ポリマー(SRPs)は、半導体製造プロセスにおいて、高アスペクト比(HAR)構造の犠牲ブレース用に使用されてもよい。低い天井温度のSRPsは、穏やかに上昇した温度または酸性蒸気などの刺激に曝露されると、自発的に除去され、基板表面を傷つける場合がある強力な湿式または乾式除去化学反応を回避できる。これらのSRPsは、空気中の分子状汚染物質およびキュータイム延長からの表面保護にも使用できる。
前述の通り、多くの実施形態では、SRPsは、低い天井温度(Tc)のポリマーである。Tcは、ポリマーとそのモノマーとの間の平衡温度である。本明細書に使用される場合、低いTcという用語は、除去温度未満のTc値を指す。いくつかの実施形態では、Tcは、ポリマーが室温で熱力学的に不安定であるため、室温未満である。その代わりに、低いTcのポリマーは、動力学的に捕捉されて、室温での長期保管が可能となる。いくつかの例では、安定した保管期間は、およそ数ヶ月から数年である。低いTcのポリマーは、末端基または主鎖の結合が切断されると、急速にそのモノマー成分に解重合することになる。したがって、ポリマーは、紫外(UV)光、熱、または酸性/塩基性触媒もしくは化合物などの刺激に応答して解重合する。モノマー生成物は揮発性であり、表面およびチャンバから離れるか、または容易に除去され得る。
いくつかの実施形態では、Tcは、室温未満である。ただし、半導体処理の状況下では、低いTcは、室温よりも高い天井温度を指す場合もある。例えば、最大400℃までの除去温度が使用されてもよく、これは天井温度が400℃未満であり、ポリマーが天井温度未満で動力学的に捕捉されていることを意味する。
本明細書に記載の方法のいくつかの実施形態では、SRPを除去することは、化合物、または反応して化合物を形成する反応物をSRPの上部のみに拡散させることによる制御された分解を含む。その後、残りのSRPが無傷の状態のままで、上部を分解および除去する。曝露および除去サイクルは、繰り返される。
本明細書に記載の方法のいくつかの実施形態では、SRPsを除去することは、反応して、SRPの分解を誘発し得る酸または塩基を形成する2つの反応物への曝露を含む。曝露は、より正確なトップダウン制御を提供するために順次行われる。第1の反応物は気体で提供されてもよく、SRP内に拡散する。圧力、温度、流量、および曝露時間を制御して、拡散の深さを調節してもよい。その後、第1の反応物をパージし、第2の反応物を気体で提供して、SRP内に拡散させる。圧力、温度、流量、および曝露時間を制御して、拡散の深さを調節してもよい。反応は、第1および第2の反応物が共に、SRP内に存在する深さおよび範囲にのみ起こる。したがって、SRPの全てまたは一部のみが、1回のサイクルで分解され、除去される。
上述した方法により、刺激として熱をそれ自体で使用するよりも低い温度でSRPを除去できる。これは、不揮発性炭素質種(木炭)の形成を回避するために好都合であり得る。さらに、前記方法により、不揮発性触媒、染料、または他の添加剤を膜に加えることなく、制御された除去が可能となる。低揮発性添加剤および木炭を排除することにより、SRPの除去時の残渣が大幅に減少するか、あるいは除去される。
犠牲SRPsの使用を含むプロセスの例を図1Aおよび図1Bを参照して以下に説明する。さらに、除去プロセスの詳細を図2~図7を参照して提供する。図1Aを参照すると、SRPを使用してHAR構造を支える方法の一例が示されている。まず、動作101において、溶媒を有するHAR構造を含む基板を設置する。HAR構造は、高アスペクト比(ARs)、例えば、少なくとも8、10、20、30、40、または80を有する構造である。基板は、例えば、ウェットエッチングまたは洗浄動作の後に提供され、先行の動作に関連する溶媒を有してもよい。いくつかの実施形態では、先行の溶媒がSRP溶液と化学的に適合しない場合、動作101における溶媒は、移行溶媒であってもよい。
次に動作103では、溶媒を、刺激応答性ポリマー(SRP)を含む溶液に置換する。次いで、動作105では、基板を乾燥させる。SRPは、液体部分の溶液が除去されると固化し、このSRPによりHAR構造が充填される。HAR構造に機械的ブレースを形成して、溶媒の乾燥中に発生する毛管力による構造の崩れを防ぐ。充填物は、1つまたは複数の追加成分を含んでもよい。このような追加成分は、安定剤、界面活性剤、および/または可塑剤を含んでいてもよい。
次に動作107では、基板を刺激に曝露して、SRPの全てまたは上部のみを分解する。以下でさらに説明するように、動作107は、化合物、または反応してSRPを分解する化合物を形成する2つの反応物への制御された曝露を含む。刺激は、SRPの結合を切断して、SRPを分解する任意の化合物である。いくつかの実施形態では、その化合物は、比較的強い酸または塩基である。その後、動作109では、分解したポリマーからの揮発性モノマーまたは断片を、構造から除去できる。SRPがまだ存在する場合は、動作107と109とを1回または複数回繰り返して、動作111で全てのSRPを除去する。各繰り返しで除去されるSRPの量は、同じであっても異なっていてもよい。
繰り返しの回数は、動作107と109の各サイクルの後に残るブレースが、崩れることなく毛管力に耐えられるような回数である。厚さTtotalのSRP膜を有するHAR構造を示す、図2Aおよび図2Bは、不足したサイクルと十分なサイクルとの違いを概略的に示す。図2Aは、1回の除去で、過剰な量のSRPが除去されているHAR構造の一例の側断面図を示す。高アスペクト比フィーチャは、崩れている。図2Bでは、対照的に、構造は、無傷のままである。
SRPsは、半導体製造プロセスにおいて、基板の敏感な表面の過渡保護のために使用されてもよい。これにより、今度は、製造工程間で使用可能なキュータイムを延長できる。半導体製造中、多くの表面は、周囲環境における空気中の分子状汚染物質(AMCs)に対して敏感である。キュータイムでは、AMCsに曝され、酸化、腐食、およびハロゲン化などの不要な相互作用に繋がる可能性がある。図1Bは、基板の敏感な表面を保護する方法の一例を示す。動作121において、環境に敏感な表面を含む基板を設置する。表面は、平面表面であってもよいし、HAR構造を含む、1つまたは複数のピラー、孔、およびトレンチを含んでもよい。環境的なキュータイムの影響に敏感であり得る基板表面の例としては、シリコン、シリコンゲルマニウム、ならびにフィンおよびナノワイヤなどのゲルマニウム構造、銅、コバルト、チタン、窒化チタン、タングステンまたはモリブデンを含むがこれらに限定されない金属表面、および/または他の構造および材料が挙げられる。
次に、動作123では、表面を、SRPを含む溶液でコーティングする。次いで、動作125では、基板を乾燥させ、敏感な基板上にSRPを含む保護コーティングを形成する。その後、動作127では、基板は、大気条件で保管し得る。さらなる処理の準備ができると、動作129では、基板を刺激に曝露して、SRPの全てまたは上部を分解する。以下でさらに説明するように、動作129は、化合物、または反応してSRPを分解する化合物を形成する2つの反応物への制御された曝露を含んでもよい。刺激は、SRPの結合を切断して、SRPを分解する任意の化合物である。いくつかの実施形態では、その化合物は、比較的強力な酸または塩基である。その後、動作131では、分解したポリマーからの揮発性モノマーまたは断片は、構造から除去できる。SRPがまだ存在する場合は、動作129と131とを1回または複数回繰り返して、動作133でSRPを完全に除去する。各繰り返しで除去される量は、同じであっても異なっていてもよい。
図1Aおよび図1Bは、SRPsを使用する例示的な半導体製造プロセスにおける特定の動作を示すフロー図である。ただし、本明細書に記載の方法は、特定の用途に限定されず、SRPsが任意の表面から除去される任意の用途で使用してもよい。任意の除去前のSRP膜の厚さは、全厚(Ttotal)として表してもよい。厚さが表面全体にわたって変化する場合、Ttotalは、最大厚さである。本明細書に記載の方法の特定の実施形態では、任意の1回の除去動作で除去されるSRPの量は、Ttotalよりも少ない、すなわち、SRPは、複数回の除去サイクルで部分ごとに除去される。他の実施形態では、1回のサイクルで全てのSRPが除去されてもよい。
いくつかの実施形態では、SRPは、SRPを分解可能な気相化合物(例えば、塩基または酸)のパルスに直接曝露される。例えば、臭化水素(HBr)、塩化水素(HCl)、フッ化水素(HF)、ヨウ化水素(HI)、硝酸(HNO3)、ギ酸(CH22)、酢酸(CH3COOH)、シアン化水素(HCN)、またはアンモニア(NH3)、種々のメチルもしくはエチルアミンガスもしくは蒸気が使用されてもよい。いくつかの例では、HBr蒸気が使用される場合、基板は、5mTから5000mTの範囲の圧力および0℃から100℃の範囲の温度で維持される。いくつかの例では、基板は、750mTから1500mTの範囲の圧力および35℃から70℃の範囲の温度で維持される。いくつかの例では、基板の温度は、1000mTの圧力および60℃の温度で維持される。酸性蒸気または他の化合物の蒸気の量を制御して、拡散を制限する。図3は、SRPを分解する化合物への制御された曝露の方法の一例を示すプロセスフロー図である。動作301では、SRP膜を有する基板を設置する。基板を設置可能な装置の例は、図8を参照して以下に説明される。いくつかの実施形態では、動作301は、基板を処理チャンバに提供することを含む。他の実施形態では、基板は、前の処理動作からチャンバ内にある。SRPは、様々な形態、例えば、構造のフィーチャ間の間隙内に、または基板の全てもしくは一部の上のブランケット膜として提供されてもよい。
動作303では、化合物をチャンバ内にパルス化する。蒸気の分圧および/またはパルス時間を制御して、蒸気への全体的な曝露および拡散深さを制御し得る。動作305では、チャンバをパージし得る。パージは、チャンバを排気すること、および/またはチャンバから一掃する不活性ガスを流すことを含み得る。このようなガスを、例えば、動作303中を含めて連続的に流していてもよいし、ガス自体をパルス化してチャンバ内に供給してもよい。動作305の間、揮発したモノマーまたはSRPの断片をチャンバからポンプで排出するか、またはパージしてもよい。動作307では、SRPが除去されるまで動作303と305とを繰り返す。
上述したように、いくつかの実施形態では、SRPは、各サイクルにおいて順次反応物に曝露される。これにより、プロセスへのさらなる制御を提供でき、また様々な方法で実施されてもよい。いくつかの実施形態では、両方の反応物の拡散は、厳密に制御される。これにより、膜が両方の反応物が存在する深さまでしか分解しないので、除去プロセスへのさらなる制御を提供できる。したがって、2つの反応物のうちの1つが目標よりも多く拡散した場合でも、他方の反応物の拡散によって除去される膜の量を制御し得る。図4は、実施形態に従って使用可能なプロセスフローの一例を示す。図3の動作301に関して上述したように、動作401では、SRP膜を有する基板を設置する。除去の前のこの段階で、SRP膜は、厚さTtotalを有する。動作403では、第1の反応物をチャンバ内にパルス化する。基板温度と蒸気の分圧および/またはパルス時間とを制御して、蒸気への全体的な曝露および拡散深さを制御し得る。動作403の結果、第1の反応物は、SRP膜の上部にわたって拡散する。動作405では、チャンバをパージし得る。パージは、チャンバを排気すること、および/またはチャンバから一掃する不活性ガスを流すことを含み得る。このようなガスを、例えば、動作403中を含めて連続的に流していてもよいし、ガス自体をパルス化してチャンバ内に供給されてもよい。次に、動作407では、第2の反応物をパルス化する。動作403と同様に、基板温度と蒸気の分圧および/またはパルス時間とを制御して、拡散深さを制限できる。第1の反応物および第2の反応物は、反応して化合物を形成し、その化合物自体がSRPと反応して、SRPの結合を切断する。SRPは、両方の反応物が拡散した深さまで分解される。上述したように、動作409では、チャンバをパージし得る。動作409の間、揮発したモノマーまたはSRPの断片をチャンバからポンプで排出するか、またはパージしてもよい。動作411では、SRPが除去されるまで動作403~409を繰り返す。いくつかの実施形態では、動作411は、実行されなくてもよい。例えば、HARフィーチャを支えることが重要ではない表面保護用途では、1回のサイクルでSRPを十分に除去できる場合がある。このような場合、動作403および407では、反応物を膜の全厚にわたって拡散させてもよい。
図4の例では、各反応物の目標拡散深さは、同じであっても異なっていてもよい。図5は、異なる実施形態の例を示す。まず、501において、SRPで充填されたHAR構造の側断面図のシーケンスが示されている。このシーケンスは、各反応物が同じ深さまで拡散することを目標とする図4による方法の一例に従ったSRP除去の2回のサイクルを示す。反応物1(R1)の第1のパルスは、深さD1まで拡散し、続いて反応物2(R2)の第1のパルスは、D1まで拡散する。反応物が反応し、SRPをD1まで分解する化合物を形成する。分解されたSRPは除去され、未充填の間隙がD1まで残る。このサイクルを繰り返し、深さD2までSRPを除去する。このサイクルは、SRPが除去されるまで継続してよい。
503において、SRPで充填されたHAR間隙の側断面図の別のシーケンスが示されている。このシーケンスは、各反応物が前の反応物のパルスの拡散深さを超える深さまで拡散することを目標とする図4による方法の一例に従ったSRP除去の2回のサイクルを示す。反応物1(R1)の第1のパルスは、深さD1まで拡散し、続いて反応物2(R2)の第1のパルスは、D2まで拡散する。反応物が反応し、SRPをD1まで分解する化合物を形成し、未反応の反応物R2がD2の深さまで残る。分解されたSRPは除去され、未充填の間隙がD1まで残り、未反応の反応物R2がD2までSRP内に存在する。次の反応物のパルスR1は、目標深さD3まで行われる。反応物が反応し、SRPをD2まで分解する化合物を形成し、未反応の反応物R1が深さD3まで残る。分解されたSRPは除去され、未充填の間隙がD2まで残り、未反応の反応物R1がD3までSRP内に存在する。次の反応物のパルスR2は、目標深さD4まで行われる。反応物が反応し、SRPをD3まで分解する化合物を形成し、未反応の反応物R2が深さD4まで残る。このサイクルは、SRPが除去されるまで継続してよい。シーケンス503をシーケンス501と比較して分かるように、反応物の各パルスが、前の反応物のパルスよりもSRP内にさらに拡散できるようにすることで、サイクル数を減少できる。ただし、各パルス時間がより長くなる場合がある。
いくつかの実施形態では、SRPは、第1の反応物に曝露され、これにより、第1の反応物がSRPの全てまたは第1の部分全体にわたって拡散し、その後、第2の反応物の複数のパルス化が続き、第2の反応物の各々のパルスにより、第2の反応物が拡散し、SRPの上部のみにおいてSRPが分解される。図6は、実施形態に従って使用可能なプロセスフローの一例を示す。
図3の動作301に関して上述したように、動作601では、SRP膜を有する基板を設置する。動作603では、第1の反応物をチャンバ内にパルス化する。基板温度と蒸気の分圧および/またはパルス時間とを制御して、蒸気への全体的な曝露および拡散深さを制御し得る。動作603の結果、第1の反応物は、SRP膜を通って目標拡散深さまで拡散する。いくつかの実施形態では、目標拡散深さは、SRP膜の深さ全体、すなわち、Ttotalであってもよい。他の実施形態では、目標拡散深さは、深さ全体よりも小さくてもよく、例えば、Ttotalの半分、Ttotalの1/4などであってもよい。動作605では、チャンバをパージし得る。パージは、チャンバを排気すること、および/またはチャンバから一掃する不活性ガスを流すことを含み得る。このようなガスを、例えば、動作603中を含めて連続的に流していてもよいし、ガス自体をパルス化してチャンバ内に供給してもよい。次いで、動作607では、第2の反応物をパルス化する。動作607では、目標拡散深さは、動作603における第1の反応物の目標拡散深さよりも小さい。例えば、第1の反応物が動作603において深さ全体または深さ全体の半分にわたって拡散した場合、動作607における目標拡散深さは、その深さの5分の1または4分の1であってもよい。第1の反応物および第2の反応物が反応して、化合物を形成し、化合物自体がSRPと反応して、SRPの結合を切断する。SRPは、第2の反応物が拡散した深さのみ分解される。したがって、第2の反応物の拡散深さにより、全体の除去率が制御される。上述したように、動作609では、チャンバをパージし得る。動作609の間、揮発したモノマーまたはSRPの断片をチャンバからポンプで排出するか、またはパージしてもよい。動作611では、第1の反応物が消費されるまで動作607と609とを繰り返す。第1の反応物が膜全体にわたって拡散する実施形態では、SRPは、動作611の後に完全に除去されてもよい。他の実施形態では、動作613において、SRPが完全に除去されるまで動作603~611を1回または複数回繰り返してもよい。
図7は、図6で説明した方法による異なる実施形態の例を示す。まず、701において、SRPで充填されたHAR構造の側断面図のシーケンスが示されている。このシーケンスは、第1の反応物がSRPの深さ全体に拡散することを目標とする図6による方法の一例に従ったSRP除去の複数回のサイクルを示す。反応物2(R2)の第1のパルスにより、深さD1まで拡散する。反応物が反応し、SRPをD1まで分解する化合物を形成する。分解されたSRPは除去され、未充填の間隙がD1まで残る。R2-除去サイクルを繰り返し、深さD2までSRPを除去する。このサイクルは、SRPが除去されるまで継続してよい。
703において、SRPで充填されたHAR構造の側断面図の別のシーケンスを示す。このシーケンスは、第1の反応物がSRPの深さの半分まで拡散することを目標とする図6による方法の一例に従ったSRP除去の複数回のサイクルを示す。反応物2(R2)の第1のパルスは、深さD1まで拡散する。反応物が反応し、SRPをD1まで分解する化合物を形成する。分解されたSRPは除去され、未充填の間隙がD1まで残る。R2-除去サイクルを繰り返して、深さD2までSRPを除去する。このサイクルは、SRPが間隙の深さの半分まで除去されるまで継続してよい。次いで、反応物1が再びパルス化され、間隙の底部まで拡散する。その後、膜が完全に除去されるまでR2-除去のサイクルを繰り返してよい(図示せず)。
刺激化合物および反応物
SRPsを分解するために使用可能な化合物の例は、酸(例えば、7未満のpKa、およびいくつかの実施形態では、4未満、または2未満のpKaを有する)および塩基(例えば、7未満のpKb、およびいくつかの実施形態では、4未満または2未満のpKbを有する)を含む。
代替様式でパルス化して、SRPsを切断するのに有効な化合物を生成できる反応物の例としては、反応して亜硫酸(H2SO3)を形成するSO2(二酸化硫黄)および水(H2O)、硝酸(HNO3)を形成する二酸化窒素(NO2)および水、炭酸(H2CO3)を形成する二酸化炭素(CO2)および水、ならびに水酸化アンモニウム(NH4OH)を形成するアンモニア(NH3)および水が挙げられる。他の酸化物を水または他の反応物と反応させて、酸または塩基を形成してもよい。
いくつかの実施形態では、水素結合を形成する反応物(例えば、H2OまたはNH3)を、図6および図7に示すようなスキームにおいて第1の反応物として使用してもよい。これは、反応物が拡散すると、水素結合が反応物を膜に吸着させるのに有効な場合があるからである。
様々な実施形態によれば、反応は、触媒反応でもよいし、無触媒反応であってもよい。いくつかの実施形態では、触媒(例えば、熱活性化触媒)は、SRPに提供され、反応物と共に供給されるか、または別個のパルスとして導入されてもよい。ただし、多くの実施形態では、反応は、SRPが触媒を含まないで提供されるため、無触媒である。これにより、SRPを容易に除去できる。
いくつかの実施形態では、反応は、副生成物を生成しない。
SRPs
以下に、SRPsの例を提示する。ただし、本明細書に記載の方法は、任意のSRPsを用いて使用してもよい。いくつかの実施形態では、SRPsは、ポリ(アルデヒド)を含むコポリマーである。特定の実施形態では、それらは、2018年6月7日に公開され、その全体が参照により本明細書に組み込まれる、米国特許公開第2018/0155483号に記載の自己犠牲ポリマーであってもよい。コポリマーの参考例としては、Formula Iのコポリマーが含まれる。
Figure 2023521251000002
ここで、Rは、置換または非置換のC1~C20アルキル、C1~C20アルコキシ、C~C20アルケニル、C2~C20アルキニル、C6~C10ヘテロアリール、C3~C10シクロアルキル、C3~C10シクロアルケニル、C3~C10へテロシクロアルキル、またはC3~C10ヘテロシクロアルケニルであり、置換される場合、Rは、C1~C20アルキル、C1~C20アルコキシ、C2~C20アルケニル、C2~C20アルキニル、C6~C10アリール、C6~C10ヘテロアリール、アルデヒド、アミノ、スルホン酸、スルフィン酸、フルオロ酸、ホスホン酸、エーテル、ハロゲン、ヒドロキシル、ケトン、ニトロ、シアノ、アジド、シリル、スルホニル、スルフィニル、またはチオールで置換される。
特定の実施形態では、SRPsは、フタルアルデヒドモノマーと、エタナール、プロパナール、またはブタナールなどの第2のアルデヒドとの環状コポリマーである。このようなコポリマーの例としては、米国特許公開第2018/015548号に、Formula IIとして示されている。
Figure 2023521251000003
米国特許公開第2018/015548号における具体例としては、PHAおよびアセトアルデヒド、プロパナール、ブタナール、ペンタナール、ヘキサナール、ヘプタナール、オクタナール、ノナナール、デカナール、ウンデカナール、プロペナール、ブテナール、ペンテナール、ヘキセナール、ヘプテナール、オクテナール、ノネナール、デセナール、ウンデセナールのうちの1つまたは複数、ならびにそれらの任意の組み合わせのコポリマーが挙げられる。
また、SRPsは、純フタルアルデヒドホモポリマーを含む任意の適切な線状または環状コポリマーであってもよい。また、SRPは、ポリ(4,5-ジクロロフタルアルデヒド)などのポリ(フタルアルデヒド)誘導体のホモポリマーであってもよい。
装置
説明した除去プロセスは、基板処理システムの一部であり得るチャンバ内で実施されてもよい。基板処理システムは、SRPsの堆積と上流および下流処理とを含む基板を処理するために使用される1つまたは複数の追加の基板処理ツールをさらに含んでもよい。ここで図8を参照すると、基板処理システム800は、1つまたは複数の基板処理ツール802(基板処理ツール802aおよび802bは、例示目的で示されている)および基板バッファ830または他の基板保管装置を含む。基板処理ツール802aおよび802bの各々は、複数の処理チャンバ804a、804b、804cなど(総称して処理チャンバ804)を含む。ほんの一例として、処理チャンバ804の各々は、基板処理を実行するように構成されてもよい。いくつかの例では、基板は、処理チャンバ804のうちの1つに搬入され、処理され、その後、処理チャンバ804のうちの1つまたは複数の他の処理チャンバに移動し、かつ/あるいは(例えば、全てが同じ処理を実行する場合)基板処理ツール800から除去されてもよい。
処理される基板は、大気-真空(ATV)搬送モジュール808のロードステーションのポートを介して基板処理ツール802aおよび802bに搬入される。いくつかの例では、ATV搬送モジュール708は、装置フロントエンドモジュール(EFEM)を含む。次いで、基板は、処理チャンバ804のうちの1つまたは複数に搬送される。例えば、搬送ロボット812は、基板をロードステーション816からロードロック820に搬送するように配置される。真空搬送モジュール828の真空搬送ロボット824は、基板をロードロック820から様々な処理チャンバ804に搬送するように配置される。
基板処理ツール802aおよび802bのうちの1つまたは複数において処理した後、基板は、真空環境の外側に移送されてもよい。例えば、基板を保管のための場所(基板バッファ830など)に移動させてもよい。他の例では、基板をさらなる処理のために基板処理ツールから別の基板処理ツールに、あるいはさらなる処理のために保管バッファ830から別の基板処理ツールに、直接移動させてもよい。
基板を大気条件に曝露すると、欠陥が生じる場合があり、そうでなければ下流処理に悪影響が及ぶ場合がある。SRPを含む犠牲保護層は、大気条件に曝露する前に基板に追加できる。いくつかの例では、犠牲保護層は、基板を保管のため基板バッファに、または別の基板処理ツールに搬送する前に基板処理ツールに適用される。他の例では、犠牲保護層は、(基板処理ツールに関連付けられていない)別の処理チャンバに適用される。
基板上で別の処理を実行する前に、犠牲保護層は、本明細書で説明したように除去される。例えば、基板は、保管バッファ830での保管期間の後または基板処理ツール802aでの処理後に、基板処理ツール802bに搬送されてもよい。犠牲保護層は、基板処理ツール802b内の処理チャンバのうちの1つ、または(基板処理ツール802bに関連付けられていない)別の処理チャンバにおいて除去されてもよい。いくつかの実施形態では、犠牲保護層は、ロードロック820において除去される。
いくつかの例では、犠牲保護層は、大気条件への曝露の前に、(基板処理を実行した)同じ基板処理ツール内の処理チャンバによって適用される。基板処理ツールは真空で動作するため、基板の大気条件への曝露は防止される。いくつかの例では、犠牲層は、ウェット洗浄プロセスの後に堆積される。この場合、酸化物および残渣は、ウェット洗浄プロセスによって除去されてもよく、犠牲層は、ウエハを乾燥させる前またはウエハを乾燥させた直後に、順次堆積される。いくつかの例では、このプロセスは、真空下で行われず、乾燥した汚れのない表面を大気に曝露することなく行われる。他の例では、基板は、基板処理ツールから犠牲保護層を追加する基板処理ツールの外側に位置する別の処理チャンバに移送される。この方法を用いると、基板を大気条件へ曝露する時間が制限または短縮される。曝露は、基板処理ツールから犠牲保護層が適用される処理チャンバへの移送の短時間に制限される。基板の保管は、大気条件にさらに曝露することなく、より長い時間行われてもよい。その後、犠牲保護層は、さらなる処理の前に除去されてもよい。いくつかの例では、犠牲保護層は、同じ基板処理ツールの処理チャンバにおける基板処理の前に、真空条件下で別の基板処理ツールにて除去される。他の例では、基板は、犠牲保護層を除去する処理チャンバに移送され、その後、さらなる処理のために基板処理ツールに移送される。また、この方法により、処理チャンバと基板処理ツールまたは他の環境との間の大気条件への曝露が制限される。一例では、犠牲保護層は、エッチング、堆積、または他の処理の直後に、表面上で凝縮して膜を形成する小分子蒸気に基板を曝露することによって形成される。これは、エッチングまたは堆積が行われたツール(例えば、基板処理ツール802a)の内部で直接実行可能であり、エッチングまたは堆積が行われた同じ処理チャンバ内で行われてもよい。その後、基板は、処理のために次のツール(例えば、基板処理ツール802b)に運ばれる。基板が再び大気条件に曝露されなくなると(例えば、基板を真空下または不活性ガスでパージされた雰囲気下に置くことによって)、真空および化合物、ならびに場合によっては、他の刺激が、上述したように適用されて、膜が分解し、基板から除去されるように誘導する。これは、上述したような処理チャンバ(例えば、基板処理チャンバ802bのプロセスチャンバ804a)の内部で行われてもよい。
様々な実施形態では、SRPの除去中を含む処理中にプロセス条件を制御するために、システムコントローラが採用される。コントローラは一般に、1つまたは複数の記憶装置と1つまたは複数のプロセッサとを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを含んでもよい。
コントローラは、除去装置の全ての動作を制御してもよい。システムコントローラは、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、ウエハチャックまたは台座位置、プラズマパワー、および特定のプロセスの他のパラメータを制御するための命令のセットを含む、システム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラに関連付けられた記憶装置に格納された他のコンピュータプログラムが採用されてもよい。
典型的には、コントローラに関連付けられたユーザインターフェースが存在する。ユーザインターフェースは、表示画面、装置および/またはプロセス条件のグラフィカルソフトウェア表示、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含んでもよい。
システム制御論理は、任意の適切な方法で構成されてもよい。一般に、論理は、ハードウェアおよび/またはソフトウェアで設計または構成可能である。駆動回路を制御するための命令は、ハードコード化されてもよく、あるいはソフトウェアとして提供されてもよい。命令は、「プログラミング」によって提供されてもよい。このようなプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、およびハードウェアとして実装された特定のアルゴリズムを有する他のデバイスにおけるハードコード化された論理を含む、任意の形式の論理を含むことが理解される。また、プログラミングは、汎用プロセッサ上で実行可能なソフトウェアまたはファームウェア命令を含むことが理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化されてもよい。
プロセスシーケンスにおける反応物パルスおよびパージガス流ならびに他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、例えば、アセンブリ言語、C、C++、パスカル、フォートラン、またはその他で記述可能である。コンパイルされたオブジェクトコードまたはスクリプトは、プロセッサによって実行されて、プログラム内で特定されたタスクを実行する。また、前述の通り、プログラムコードは、ハードコード化されてもよい。
コントローラパラメータは、例えば、プロセスガス組成および流量、温度、圧力、基板温度、およびプラズマパワーなど、プロセス条件に関する。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを利用して入力されてもよい。
プロセスを監視するための信号は、システムコントローラのアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、システムのアナログおよびデジタル出力接続で出力される。
システムソフトウェアは、多くの方法で設計または構成されてもよい。
例えば、本開示の実施形態に従って堆積プロセスを実行するのに必要なチャンバ構成要素の動作を制御するために、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトが記述されてもよい。この目的のためのプログラムまたはプログラムのセクションの例としては、基板位置決めコード、プロセスガス制御コード、圧力制御コード、およびヒータ制御コードが挙げられる。
いくつかの実施態様では、コントローラは、システムの一部であり、上述した例の一部であってもよい。このようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、処理のための1つまたは複数のプラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む、半導体処理装置を含み得る。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と統合されてもよい。電子機器は、「コントローラ」と呼ばれる場合があり、1つまたは複数のシステムの様々な構成要素またはサブパーツを制御してもよい。コントローラは、処理要件および/またはシステムの種類に応じて、本明細書に開示のプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとして、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、流量設定、流体供給設定、位置および動作設定、ツールへのウエハの搬入出、ならびに特定のシステムに接続または連動した他の搬送ツールおよび/またはロードロックへのウエハの搬入出が挙げられる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSPs)、特定用途向け集積回路(ASICs)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、またはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、プロセスエンジニアによって定義されるレシピの一部であって、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハのダイの製造中に1つまたは複数の処理工程を達成してもよい。
コントローラは、いくつかの実施態様では、システムに統合されているか、結合されているか、そうでない場合はシステムにネットワーク接続されているか、またはそれらの組み合わせであるコンピュータの一部であっても結合されていてもよい。例えば、コントローラは、「クラウド」内にあってもよく、あるいは、ファブホストコンピュータシステムの全てまたは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にし、製造動作の現在の進捗状況を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向または性能基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定する、あるいは新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供できる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後、リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実行される処理ステップの各々に対するパラメータを特定する。パラメータは、実行されるプロセスの種類およびコントローラが連動または制御するように構成されるツールの種類に特有のものであってもよい。したがって、上述したように、コントローラは、互いにネットワーク接続され、本明細書に記載のプロセスおよび制御など、共通の目的に向けて協働する1つまたは複数の個別のコントローラを含むことなどによって、分散されてもよい。このような目的のための分散型コントローラの一例としては、(プラットフォームレベルでまたはリモートコンピュータの一部としてなど)遠隔配置され、チャンバ上のプロセスを制御するように結合する1つまたは複数の集積回路と通信するチャンバ上の1つまたは複数の集積回路が挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、PVDチャンバまたはモジュール、CVDチャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連付けられた、または使用可能な任意の他の半導体処理システムを含んでもよいが、これらに限定されない。
上述したように、ツールによって実行される1つまたは複数のプロセス工程に応じて、コントローラは、他のツール回路またはモジュールのうちの1つまたは複数、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体生産工場内のツール場所および/またはロードポートへウエハの容器を搬入出する材料移送に使用されるツールと通信してもよい。
コントローラは、様々なプログラムを含んでもよい。基板位置決めプログラムは、基板を台座上またはチャック上に載置し、基板とガス入口および/または対象物などのチャンバの他の部品との間の間隔を制御するために使用されるチャンバ構成要素を制御するためのプログラムコードを含んでもよい。プロセスガス制御プログラムは、ガス組成、流量、パルス時間を制御するためのコードと、任意にチャンバ内にガスを流すためのコードとを含んでもよい。圧力制御プログラムは、例えば、チャンバの排気システムにおけるスロットル弁を調節することによって、チャンバ内の圧力を制御するためのコードを含んでもよい。ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでもよい。あるいは、ヒータ制御プログラムは、ウエハチャックへ、ヘリウムなどの熱搬送ガスの供給を制御してもよい。プラズマパワープログラムは、プラズマパワーを制御してもよい。
除去中に監視可能なチャンバセンサの例としては、マスフローコントローラ、マノメータなどの圧力センサ、および台座またはチャックに配置される熱電対が挙げられる。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、所望のプロセス条件を維持してもよい。
上記は、単一またはマルチチャンバ半導体処理ツールにおいて、開示された実施形態の実施態様を説明している。本明細書に記載の装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LEDs、光起電力パネルなどの製造または生産のための、リソグラフィパターニングツールまたはプロセスと連動して使用されてもよい。一般に、必ずしもそうではないが、このようなツール/プロセスは、共通の製造設備において共に使用または実施される。膜のリソグラフィパターニングは一般に、(1)フォトレジストをスピンオンまたはスプレー式ツールを用いて、ワークピース、すなわち、基板上へ塗布することと、(2)ホットプレートまたは炉または紫外線硬化ツールを用いてフォトレジストを硬化させることと、(3)ウエハステッパなどのツールを用いてフォトレジストを可視光または紫外線またはX線へ露光することと、(4)ウェットベンチなどのツールを用いてレジストを選択的に除去し、それによって、レジストをパターニングするようにレジストを現像することと、(5)ドライまたはプラズマアシストエッチングツールを用いることによって、レジストパターンを下層にある膜またはワークピースに転写することと、(6)RFまたはマイクロ波プラズマレジストストリッパーなどのツールを用いてレジストを除去することとの一部または全てを含み、各ステップには、いくつかの利用可能なツールが設けられている。
前述の実施形態は、理解を明確にする目的である程度詳細に説明してきた。ただし、添付の特許請求の範囲内で特定の変更および修正が実施されてもよいことが明らかであろう。なお、本実施形態のプロセス、システム、および装置を実装する多くの代替方法が存在することに留意されたい。したがって、本実施形態は、例示的なものであって制限的なものではないと見なされるべきで、本実施形態は、本明細書にて与えられた詳細に限定されるものではない。
処理される基板は、大気-真空(ATV)搬送モジュール808のロードステーションのポートを介して基板処理ツール802aおよび802bに搬入される。いくつかの例では、ATV搬送モジュール08は、装置フロントエンドモジュール(EFEM)を含む。次いで、基板は、処理チャンバ804のうちの1つまたは複数に搬送される。例えば、搬送ロボット812は、基板をロードステーション816からロードロック820に搬送するように配置される。真空搬送モジュール828の真空搬送ロボット824は、基板をロードロック820から様々な処理チャンバ804に搬送するように配置される。

Claims (38)

  1. 高アスペクト比(HAR)構造のフィーチャ間に形成されている高アスペクト比の間隙に刺激応答性ポリマー(SRP)を有する前記HAR構造をチャンバに提供し、前記高アスペクト比の間隙が、全厚Ttotalを有し、
    前記間隙から前記SRPを除去する1回または複数回のサイクルを実行することを備え、各サイクルは、
    (a)第1の反応物がTtotal未満の深さのみ前記間隙内に拡散するように、前記第1の反応物を前記チャンバにパルス化し、
    (b)(a)の後、前記チャンバをパージし、
    (c)(b)の後、第2の反応物がTtotal未満の深さのみ前記間隙内に拡散するように、前記第2の反応物を前記チャンバにパルス化し、前記
    (d)前記SRPを分解する化合物を形成するために前記第1の反応物と前記第2の反応物とを反応させ、
    (e)SRPの厚さをTtotal未満まで分解し、
    (f)前記分解されたSRPを除去すること
    を備える、方法。
  2. 請求項1に記載の方法であって、
    前記SRPは、ホモポリマーとして、もしくはコポリマーの前記ポリマーのうちの1つとして、ポリ(フタルアルデヒド)またはその誘導体を含む、方法。
  3. 請求項1に記載の方法であって、
    前記SRPは、ホモポリマーとして、もしくはコポリマーの前記ポリマーのうちの1つとして、ポリ(アルデヒド)またはその誘導体を含む、方法。
  4. 請求項1から3のいずれか一項に記載の方法であって、
    前記第1または第2の反応物は、水蒸気である、方法。
  5. 請求項4に記載の方法であって、
    前記第1または第2の反応物の他方は、前記水蒸気と反応して酸性もしくは塩基性の種になる気体酸化物である、方法。
  6. 請求項5に記載の方法であって、
    前記気体酸化物は、二酸化窒素である、方法。
  7. 請求項5に記載の方法であって、
    前記気体酸化物は、二酸化硫黄である、方法。
  8. 請求項5に記載の方法であって、
    前記気体酸化物は、二酸化炭素である、方法。
  9. 請求項4に記載の方法であって、
    前記第1または第2の反応物の他方は、アンモニアである、方法。
  10. 請求項1から9のいずれか一項に記載の方法であって、
    (a)および(c)の目標拡散深さは、同じである、方法。
  11. 請求項1から9のいずれか一項に記載の方法であって、
    (a)および(c)の目標拡散深さは、異なる、方法。
  12. 請求項1から11のいずれか一項に記載の方法であって、
    (d)の前記反応は、無触媒である、方法。
  13. 請求項1から3のいずれか一項に記載の方法であって、
    前記化合物は、酸または塩基である、方法。
  14. 高アスペクト比(HAR)構造のフィーチャ間に形成されている高アスペクト比の間隙に刺激応答性ポリマー(SRP)を有する前記HAR構造をチャンバに提供し、前記SRP膜は、全厚Ttotalを有し、
    前記間隙から前記SRPを除去する1回または複数回のサイクルを実行することを備え、各サイクルは、
    (a)第1の反応物が前記間隙内に深さDfirst reactantまで拡散するように、前記第1の反応物を前記チャンバにパルス化し、
    (b)(a)の後、前記チャンバをパージし、
    (c)(b)の後、第2の反応物が深さDsecond reactantのみ前記間隙内に拡散するように前記第2の反応物を前記チャンバにパルス化し、Dsecond reactantは、Dfirst reactant未満であり、
    (d)前記SRPを分解する化合物を形成するために、前記第1の反応物と前記第2の反応物とを反応させ、
    (e)前記SRPを深さDsecond reactantまで分解し、
    (f)前記分解されたSRPを除去すること
    を備える、方法。
  15. 請求項13に記載の方法であって、
    前記SRPは、ホモポリマーとして、もしくはコポリマーの前記ポリマーのうちの1つとしてポリ(フタルアルデヒド)またはその誘導体を含む、方法。
  16. 請求項13に記載の方法であって、
    前記SRPは、ホモポリマーとして、もしくはコポリマーの前記ポリマーのうちの1つとしてポリ(アルデヒド)またはその誘導体を含む、方法。
  17. 請求項13から15のいずれか一項に記載の方法であって、
    前記第1または第2の反応物は、水蒸気である、方法。
  18. 請求項16に記載の方法であって、
    前記第1または第2の反応物の他方は、前記水蒸気と反応して酸性もしくは塩基性の種になる気体酸化物である、方法。
  19. 請求項17に記載の方法であって、
    前記気体酸化物は、二酸化窒素である、方法。
  20. 請求項17に記載の方法であって、
    前記気体酸化物は、二酸化硫黄である、方法。
  21. 請求項17に記載の方法であって、
    前記気体酸化物は、二酸化炭素である、方法。
  22. 請求項17に記載の方法であって、
    前記第1または第2の反応物の他方は、アンモニアである、方法。
  23. 請求項13から21のいずれか一項に記載の方法であって、
    first reactantは、前記第1の反応物が1回のサイクルで前記SRP膜の前記全厚にわたって拡散されるように、Ttotalに等しい、方法。
  24. 請求項13から21のいずれか一項に記載の方法であって、
    first reactantは、Ttotal未満であり、複数回のサイクルが実行される、方法。
  25. 請求項13から23のいずれか一項に記載の方法であって、
    (d)の前記反応は、無触媒である、方法。
  26. 請求項13から15のいずれか一項に記載の方法であって、
    前記化合物は、亜硫酸、硝酸、炭酸、および水酸化アンモニウムのうちの1つである、方法。
  27. 刺激応答性ポリマー(SRP)をその上に有する基板を提供し、
    複数回の除去サイクルを実行することを備え、各サイクルは、
    前記SRPの上部のみを、前記SRPを分解できる化合物に曝露し、それによって、前記SRPの前記上部を分解し、
    前記SRPの前記上部のみを除去すること
    を備える、方法。
  28. 請求項26に記載の方法であって、
    前記SRPは、触媒を用いることなく提供される、方法。
  29. 請求項26または27に記載の方法であって、
    前記SRPの前記上部を化合物に曝露することは、前記基板を収容するチャンバ内に気相中の前記化合物をパルス化することを含む、方法。
  30. 請求項28に記載の方法であって、
    前記化合物は、臭化水素(HBr)、塩化水素(HCl)、フッ化水素(HF)、ヨウ化水素(HI)、硝酸(HNO3)、ギ酸(CH22)、酢酸(CH3COOH)、シアン化水素(HCN)、またはアンモニア(NH3)、およびアルキルアミンガスのうちの1つである、方法。
  31. 請求項26から29のいずれか一項に記載の方法であって、
    前記SRPの前記上部を曝露することは、第1の反応物および第2の反応物を順次パルス化することを含み、前記第1の反応物および前記第2の反応物が反応して、前記化合物を形成する、方法。
  32. 請求項30に記載の方法であって、
    前記第1の反応物および前記第2の反応物は、前記SRP膜の前記上部で反応する、方法。
  33. 請求項26または27に記載の方法であって、
    前記SRPの前記上部を曝露することは、第1の反応物の第1のパルスに続いて、第2の反応物の複数回の連続したパルスを含み、前記第1の反応物および前記第2の反応物が反応して、前記化合物を形成する、方法。
  34. 請求項30から32のいずれか一項に記載の方法であって、
    連続したパルスは、不活性ガスのパージによって分離される、方法。
  35. 請求項26から33のいずれか一項に記載の方法であって、
    前記SRPは、ホモポリマーとして、もしくはコポリマーの前記ポリマーのうちの1つとしてポリ(フタルアルデヒド)またはその誘導体を含む、方法。
  36. 請求項26から34のいずれか一項に記載の方法であって、
    前記SRPは、ホモポリマーとして、もしくはコポリマーの前記ポリマーのうちの1つとしてポリ(アルデヒド)またはその誘導体を含む、方法。
  37. 請求項26から35のいずれか一項に記載の方法であって、
    前記SRPは、高アスペクト比(HAR)構造のフィーチャ間に提供される、方法。
  38. 請求項26から35のいずれか一項に記載の方法であって、
    前記SRPは、基板上の保護コーティングとして提供される、方法。
JP2022568696A 2020-05-12 2021-05-10 刺激応答性ポリマー膜の制御された分解 Active JP7395773B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063023676P 2020-05-12 2020-05-12
US63/023,676 2020-05-12
PCT/US2021/031595 WO2021231307A1 (en) 2020-05-12 2021-05-10 Controlled degradation of a stimuli-responsive polymer film

Publications (2)

Publication Number Publication Date
JP2023521251A true JP2023521251A (ja) 2023-05-23
JP7395773B2 JP7395773B2 (ja) 2023-12-11

Family

ID=78524902

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022568696A Active JP7395773B2 (ja) 2020-05-12 2021-05-10 刺激応答性ポリマー膜の制御された分解

Country Status (6)

Country Link
US (1) US11862473B2 (ja)
JP (1) JP7395773B2 (ja)
KR (1) KR102665933B1 (ja)
CN (1) CN115552573A (ja)
TW (1) TW202208472A (ja)
WO (1) WO2021231307A1 (ja)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005251901A (ja) * 2004-03-03 2005-09-15 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法
JP2013021208A (ja) * 2011-07-13 2013-01-31 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP2015106645A (ja) * 2013-11-29 2015-06-08 株式会社東芝 半導体装置の製造方法
US20160086829A1 (en) * 2014-09-18 2016-03-24 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
US20190088470A1 (en) * 2017-09-21 2019-03-21 Honeywell International Inc. Fill material to mitigate pattern collapse
WO2020161879A1 (ja) * 2019-02-08 2020-08-13 株式会社 日立ハイテクノロジーズ ドライエッチング方法及びドライエッチング装置

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1027419C (zh) 1990-08-08 1995-01-18 李久成 醋酸钙的制备方法及用途
US5571447A (en) 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JPH09275085A (ja) 1996-04-05 1997-10-21 Hitachi Ltd 半導体基板の洗浄方法ならびに洗浄装置および半導体基板製造用成膜方法および成膜装置
JPH1121496A (ja) 1997-06-30 1999-01-26 Nippon Shokubai Co Ltd 保護被膜形成材および基材の一時的保護処理方法
JP2000012648A (ja) 1998-06-17 2000-01-14 Ebara Corp 素子製造工程における基材表面保護方法及び装置
KR100327577B1 (ko) 1999-06-28 2002-03-14 박종섭 저유전율 절연막 형성방법
US6337277B1 (en) 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US6660459B2 (en) 2001-03-14 2003-12-09 Advanced Micro Devices, Inc. System and method for developing a photoresist layer with reduced pattern collapse
US6852474B2 (en) 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6805809B2 (en) 2002-08-28 2004-10-19 Board Of Trustees Of University Of Illinois Decal transfer microfabrication
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7011716B2 (en) * 2003-04-29 2006-03-14 Advanced Technology Materials, Inc. Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
KR101032670B1 (ko) 2002-11-01 2011-05-06 조지아 테크 리서치 코오포레이션 희생 조성물, 그의 사용 방법 및 그의 분해 방법
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
US7033735B2 (en) 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP2005183751A (ja) 2003-12-22 2005-07-07 Sony Chem Corp 配線板の表面処理方法及び電気装置の製造方法
US7119025B2 (en) 2004-04-08 2006-10-10 Micron Technology, Inc. Methods of eliminating pattern collapse on photoresist patterns
US7199059B2 (en) 2004-10-26 2007-04-03 United Microelectronics Corp. Method for removing polymer as etching residue
JP5224228B2 (ja) 2006-09-15 2013-07-03 Nltテクノロジー株式会社 薬液を用いた基板処理方法
KR100931195B1 (ko) 2007-07-12 2009-12-10 주식회사 실트론 웨이퍼의 표면처리방법
US7666754B2 (en) 2007-10-18 2010-02-23 Tokyo Electron Limited Method and system for forming an air gap structure
US8282842B2 (en) 2007-11-29 2012-10-09 United Microelectronics Corp. Cleaning method following opening etch
JP5101541B2 (ja) 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
RU2011127203A (ru) 2008-12-03 2013-01-10 Массачусетс Инститьют Оф Текнолоджи Многофункциональные композиты на основе покрытых наноструктур
US8617993B2 (en) 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
WO2012005806A2 (en) 2010-05-10 2012-01-12 The Penn State Research Foundation Signal-responsive plastics
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
JP5622675B2 (ja) 2011-07-05 2014-11-12 株式会社東芝 基板処理方法及び基板処理装置
US20140253137A1 (en) 2013-03-08 2014-09-11 Macronix International Co., Ltd. Test pattern design for semiconductor devices and method of utilizing thereof
AU2014246657A1 (en) 2013-04-02 2015-11-19 University Of South Australia Stimulus responsive substrates
US9666427B2 (en) 2013-06-21 2017-05-30 Lam Research Corporation Method of collapse-free drying of high aspect ratio structures
JP6117711B2 (ja) 2014-02-06 2017-04-19 信越化学工業株式会社 半導体基板の洗浄乾燥方法
JP6275578B2 (ja) 2014-07-30 2018-02-07 株式会社東芝 処理装置、処理方法、および電子デバイスの製造方法
JP2015092619A (ja) 2015-01-08 2015-05-14 東京エレクトロン株式会社 基板乾燥方法及び基板処理装置
JP5925928B1 (ja) 2015-02-26 2016-05-25 日本航空電子工業株式会社 電気接続構造および電気接続部材
WO2017062135A1 (en) 2015-10-04 2017-04-13 Applied Materials, Inc. Drying process for high aspect ratio features
JP6703858B2 (ja) 2016-02-26 2020-06-03 株式会社Screenホールディングス 基板処理装置および基板処理方法
KR102008566B1 (ko) 2016-05-24 2019-08-07 가부시키가이샤 스크린 홀딩스 기판 처리 장치 및 기판 처리 방법
US10662274B2 (en) 2016-12-02 2020-05-26 Georgia Tech Research Corporation Self-immolative polymers, articles thereof, and methods of making and using same
US10276440B2 (en) 2017-01-19 2019-04-30 Honeywell International Inc. Removable temporary protective layers for use in semiconductor manufacturing
JP7140110B2 (ja) * 2017-04-13 2022-09-21 Jsr株式会社 半導体基板洗浄用組成物
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US20180315725A1 (en) 2017-04-26 2018-11-01 Nanya Technology Corporation Package structure having bump with protective anti-oxidation coating
US10394123B2 (en) 2017-05-17 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking layer material composition and methods thereof in semiconductor manufacturing
US11065654B2 (en) 2017-07-17 2021-07-20 Lam Research Corporation In situ vapor deposition polymerization to form polymers as precursors to viscoelastic fluids for particle removal from substrates
US20210163731A1 (en) 2018-03-26 2021-06-03 Georgia Tech Research Corporation Transient polymer formulations, articles thereof, and methods of making and using same
US10861739B2 (en) 2018-06-15 2020-12-08 Tokyo Electron Limited Method of patterning low-k materials using thermal decomposition materials
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2021030252A1 (en) 2019-08-09 2021-02-18 Georgia Tech Research Corporation Rapid synthesis of polyaldehydes
WO2021046572A1 (en) 2019-09-04 2021-03-11 Lam Research Corporation Stimulus responsive polymer films and formulations
JP2022547281A (ja) 2019-09-04 2022-11-11 ラム リサーチ コーポレーション 犠牲ブレーシング、表面保護、および、キュータイム管理のための小分子膜

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005251901A (ja) * 2004-03-03 2005-09-15 Ulvac Japan Ltd 層間絶縁膜のドライエッチング方法
JP2013021208A (ja) * 2011-07-13 2013-01-31 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP2015106645A (ja) * 2013-11-29 2015-06-08 株式会社東芝 半導体装置の製造方法
US20160086829A1 (en) * 2014-09-18 2016-03-24 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
US20190088470A1 (en) * 2017-09-21 2019-03-21 Honeywell International Inc. Fill material to mitigate pattern collapse
WO2020161879A1 (ja) * 2019-02-08 2020-08-13 株式会社 日立ハイテクノロジーズ ドライエッチング方法及びドライエッチング装置

Also Published As

Publication number Publication date
CN115552573A (zh) 2022-12-30
WO2021231307A1 (en) 2021-11-18
JP7395773B2 (ja) 2023-12-11
TW202208472A (zh) 2022-03-01
US20230136036A1 (en) 2023-05-04
KR20230004895A (ko) 2023-01-06
US11862473B2 (en) 2024-01-02
KR102665933B1 (ko) 2024-05-20

Similar Documents

Publication Publication Date Title
TWI827645B (zh) 基板處理設備及方法
CN112204166B (zh) 渗透设备和渗透可渗透材料的方法
TWI750151B (zh) 使用電漿與蒸氣處理組合之al2o3的原子層蝕刻
JP7472114B2 (ja) 堆積副生成物の蓄積からの真空ポンプの保護
JP2018164079A5 (ja)
US20220344136A1 (en) Dry chamber clean of photoresist films
KR102394352B1 (ko) 금속 막들의 선택적 증착을 위한 방법들 및 전구체들
WO2016138284A1 (en) Methods for selective dielectric deposition using self-assembled monolayers
KR102215970B1 (ko) 산할로겐화물을 사용한 원자층 에칭
US20220301859A1 (en) Stimulus responsive polymer films and formulations
JP7395773B2 (ja) 刺激応答性ポリマー膜の制御された分解
KR20230009490A (ko) 기판들로부터 자극 반응성 폴리머들의 잔류물-프리 (residue-free) 제거
JP2010050270A (ja) 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
TW202338913A (zh) 使用刺激響應聚合物的犧牲性支撐、表面保護及等候時間管理用之方法及配方
US20240027900A1 (en) Acid for Reactive Development of Metal Oxide Resists
US20220148885A1 (en) Systems For Etching A Substrate Using A Hybrid Wet Atomic Layer Etching Process
US20240030023A1 (en) Sacrificial protection layer for environmentally sensitive surfaces of substrates
WO2023215136A1 (en) Post-development treatment of metal-containing photoresist
JP5390764B2 (ja) レジストパターンの形成方法と残存膜除去処理システムおよび記録媒体
JP2009182175A (ja) レジストパターンの形成方法および記録媒体

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230308

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230308

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20230308

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230731

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231031

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231129

R150 Certificate of patent or registration of utility model

Ref document number: 7395773

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150