JP2023518754A - フォトレジストパターニングのためのリソグラフィプロセスウインドウ強化 - Google Patents
フォトレジストパターニングのためのリソグラフィプロセスウインドウ強化 Download PDFInfo
- Publication number
- JP2023518754A JP2023518754A JP2022556160A JP2022556160A JP2023518754A JP 2023518754 A JP2023518754 A JP 2023518754A JP 2022556160 A JP2022556160 A JP 2022556160A JP 2022556160 A JP2022556160 A JP 2022556160A JP 2023518754 A JP2023518754 A JP 2023518754A
- Authority
- JP
- Japan
- Prior art keywords
- electric field
- photoresist layer
- voltage
- substrate
- post
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 139
- 230000008569 process Effects 0.000 title claims abstract description 104
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 97
- 238000000059 patterning Methods 0.000 title description 2
- 239000000758 substrate Substances 0.000 claims abstract description 116
- 230000005684 electric field Effects 0.000 claims abstract description 103
- 239000000463 material Substances 0.000 claims abstract description 26
- 230000005855 radiation Effects 0.000 claims abstract description 7
- 230000003287 optical effect Effects 0.000 claims abstract description 5
- 238000012545 processing Methods 0.000 claims description 43
- 238000005530 etching Methods 0.000 claims description 3
- 230000002708 enhancing effect Effects 0.000 abstract description 4
- 239000002253 acid Substances 0.000 description 15
- 238000012546 transfer Methods 0.000 description 11
- 238000009826 distribution Methods 0.000 description 8
- 230000005012 migration Effects 0.000 description 8
- 238000013508 migration Methods 0.000 description 8
- 238000001459 lithography Methods 0.000 description 7
- 230000005670 electromagnetic radiation Effects 0.000 description 6
- 239000003795 chemical substances by application Substances 0.000 description 5
- 238000009792 diffusion process Methods 0.000 description 5
- 239000007789 gas Substances 0.000 description 5
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000011161 development Methods 0.000 description 4
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 239000011347 resin Substances 0.000 description 4
- 229920005989 resin Polymers 0.000 description 4
- 239000013077 target material Substances 0.000 description 4
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 3
- 239000000654 additive Substances 0.000 description 3
- 230000033001 locomotion Effects 0.000 description 3
- 239000011368 organic material Substances 0.000 description 3
- 239000003960 organic solvent Substances 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 230000035945 sensitivity Effects 0.000 description 3
- FENFUOGYJVOCRY-UHFFFAOYSA-N 1-propoxypropan-2-ol Chemical compound CCCOCC(C)O FENFUOGYJVOCRY-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 239000003377 acid catalyst Substances 0.000 description 2
- 229910003481 amorphous carbon Inorganic materials 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000026058 directional locomotion Effects 0.000 description 2
- 229940116333 ethyl lactate Drugs 0.000 description 2
- 229910010272 inorganic material Inorganic materials 0.000 description 2
- 239000011147 inorganic material Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- JOXIMZWYDAKGHI-UHFFFAOYSA-N toluene-4-sulfonic acid Chemical compound CC1=CC=C(S(O)(=O)=O)C=C1 JOXIMZWYDAKGHI-UHFFFAOYSA-N 0.000 description 2
- AGBXYHCHUYARJY-UHFFFAOYSA-N 2-phenylethenesulfonic acid Chemical compound OS(=O)(=O)C=CC1=CC=CC=C1 AGBXYHCHUYARJY-UHFFFAOYSA-N 0.000 description 1
- WZZFZXZRKPNZOC-UHFFFAOYSA-N 3-nitrobenzenesulfonic acid;pyridine Chemical compound C1=CC=[NH+]C=C1.[O-][N+](=O)C1=CC=CC(S([O-])(=O)=O)=C1 WZZFZXZRKPNZOC-UHFFFAOYSA-N 0.000 description 1
- YEJRWHAVMIAJKC-UHFFFAOYSA-N 4-Butyrolactone Chemical compound O=C1CCCO1 YEJRWHAVMIAJKC-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- AFVFQIVMOAPDHO-UHFFFAOYSA-N Methanesulfonic acid Chemical compound CS(O)(=O)=O AFVFQIVMOAPDHO-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 206010042635 Suspiciousness Diseases 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000002318 adhesion promoter Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000003321 amplification Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 229920001519 homopolymer Polymers 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 230000007257 malfunction Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000003199 nucleic acid amplification method Methods 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- JUJWROOIHBZHMG-UHFFFAOYSA-O pyridinium Chemical compound C1=CC=[NH+]C=C1 JUJWROOIHBZHMG-UHFFFAOYSA-O 0.000 description 1
- ZDYVRSLAEXCVBX-UHFFFAOYSA-N pyridinium p-toluenesulfonate Chemical compound C1=CC=[NH+]C=C1.CC1=CC=C(S([O-])(=O)=O)C=C1 ZDYVRSLAEXCVBX-UHFFFAOYSA-N 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 150000003871 sulfonates Chemical class 0.000 description 1
- 150000003460 sulfonic acids Chemical class 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 125000003944 tolyl group Chemical group 0.000 description 1
- 230000035899 viability Effects 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/38—Treatment before imagewise removal, e.g. prebaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Materials For Photolithography (AREA)
Abstract
リソグラフィプロセス中に焦点深度プロセスウインドウを強化するための方法が、基板上に配置された材料層上に、光酸発生剤を含むフォトレジスト層を付加すること、フォトマスクによって保護されていないフォトレジスト層の第1の部分を、リソグラフィ露光プロセスにおいて光放射に露光すること、露光後ベーキングプロセスにおいて熱エネルギーをフォトレジスト層に提供すること、露光後ベーキングプロセスを実行している間に電界又は磁界を印加すること、及び、熱エネルギーをフォトレジスト層に提供しながら、生成される電界の周波数を動的に変化させることを含む。【選択図】図5
Description
[0001] 本開示は、広くは、基板を処理するための方法及び装置に関し、特に、フォトレジストプロファイル制御を向上させるための方法及び装置に関する。
[0002] 集積回路は、単一チップ上に数百万個もの構成要素(例えば、トランジスタ、コンデンサ、及び抵抗)が搭載され得る複雑なデバイスへと進化を遂げている。チップ上に構成要素を形成するには、フォトリソグラフィが使用され得る。概して、フォトリソグラフィのプロセスには、幾つかの基礎段階が含まれる。最初に、基板上にフォトレジスト層が形成される。該フォトレジスト層は、例えばスピンコーティングによって形成され得る。該フォトレジスト層は、レジスト樹脂及び光酸発生剤(photoacid generator)を含むことがある。光酸発生剤は、その後の露光段階で電磁放射に露光されると、現像プロセスにおけるフォトレジストの溶解性を変化させる。余剰な溶媒が、露光前ベークプロセスにおいて除去されてよい。
[0003] 露光段階では、基板上に配置されたフォトレジスト層の特定領域を、電磁放射に選択的に露光するために、フォトマスク又はレチクルが使用されてよい。その他の露光法は、マスクレス露光法であってよい。電磁放射は、極紫外線領域内の波長などの、任意の適切な波長を有してよい。電磁放射は、例えば、193nmのArFレーザ、電子ビーム、イオンビーム、又はその他のソースといった、任意の適切なソースからのものであってよい。電磁放射への露光により、光酸発生剤が分解し、これが酸を生成し、レジスト樹脂内に潜在的な酸像(latent acid image)をもたらし得る。露光後に、基板が、露光後ベークプロセスにおいて加熱されてよい。露光後ベークプロセス中に、光酸発生剤によって生成された酸は、フォトレジスト層内のレジスト樹脂と反応し、その後の現像プロセス中にフォトレジスト層のレジストの溶解性を変化させる。
[0004] 露光後ベーク後に、基板(特にフォトレジスト層)が現像され、リンスされてよい。次いで、現像及びリンス後に、図1で示されているように、パターニングされたフォトレジスト層が基板上に形成される。図1は、エッチングされるターゲット材料102上に配置されたパターニングされたフォトレジスト層104を有する基板100の例示的な直交断面図を描いている。開口部106が、パターニングされたフォトレジスト層104の間に画定され、現像及びリンスプロセス後に、下層のターゲット材料102を露出させてエッチングし、ターゲット材料102上に特徴を転写する。しかし、リソグラフィ露光プロセスの不正確な制御又は低解像度は、フォトレジスト層104の限界寸法を保持しないことがあり、その結果、許容できない線幅粗さ(LWR)108を生じる可能性がある。更に、露光プロセス中に、光酸発生剤から生成された酸(図1で示されている)は、拡散されることを意図しないマスクの下に保護された領域を含む任意の領域にランダムに拡散し、したがって、開口部106と界面接続されたパターニングされたフォトレジスト層104の縁部又は界面に、望ましくないうねり(wigging)又は粗さプロファイル150を生成することがある。フォトレジスト層104の大きな線幅粗さ(LWR)108及び望ましくないうねりプロファイル150は、ターゲット材料102への不正確な特徴転写をもたらし、したがって、最終的にデバイス故障及び歩留まり損失をもたらすことがある。
[0005] したがって、所望の限界寸法を有するパターニングされたフォトレジスト層を得るために、線幅粗さ(LWR)を制御し、線量感度と同様に解像度を高める方法と装置が必要である。
[0006] 本開示の実施形態は、焦点深度の範囲などのリソグラフィプロセスウインドウを改善するために、露光後ベーキングプロセス中にフォトレジスト層内の光酸発生剤からの酸の分布及び拡散を効率的に制御するための方法を含む。この方法は、リソグラフィプロセス中に焦点深度プロセスウインドウを強化及び拡大することができる。
[0007] 一実施形態では、基板を処理する方法が、基板上に配置された材料層上に、光酸発生剤を含むフォトレジスト層を付加すること、フォトマスクによって保護されていないフォトレジスト層の第1の部分を、リソグラフィ露光プロセスにおいて光放射に露光すること、露光後ベーキングプロセスにおいて熱エネルギーをフォトレジスト層に提供すること、露光後ベーキングプロセスを実行している間に電界又は磁界を印加すること、及び、熱エネルギーをフォトレジスト層に供給しながら、生成される電界の周波数を動的に変化させることを含む。
[0008] 別の一実施形態では、基板を処理する方法が、基板上にフォトレジスト層を付加すること、フォトマスクによって保護されていないフォトレジスト層の第1の部分を、リソグラフィ露光プロセスにおいて光放射に露光すること、フォトレジスト層に露光後ベーキングプロセスを実行すること、及び、熱エネルギーをフォトレジスト層に供給しながら、フォトレジスト層内で光酸発生剤のドリフト方向を垂直方向に変化させることを含む。
[0009] 更に別の一実施形態では、デバイス構造が、基板上に配置された材料層、及び材料層内に形成された複数の開口部を含み、基板にわたり形成された開口部は、約85度と95度との間のコーナー角度を有する。
[0010] 上述の本開示の特徴を詳細に理解し得るように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかし、添付の図面は、本開示の典型的な実施形態のみを示し、したがって、本開示は、他の等しく有効な実施形態を認めることができるので、本開示の範囲を限定すると見なされるべきではないことに留意されたい。
[0017] 理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。加えて、一実施形態の要素は、本明細書で説明される他の実施形態における利用に有利に適合し得る。
[0018] フォトリソグラフィによって形成されたフォトレジスト層のプロファイル制御を向上させるための方法が提供される。ラインエッジ/線幅粗さに寄与する露光後ベーキングプロセス中に光酸発生剤によって生成される酸の拡散は、露光後ベーキングプロセス中に印加される電界を調整することによって制御されてよい。電界印加は、フォトレジスト層内の光酸発生剤によって生成される酸の拡散及び分布を制御し、したがって、リソグラフィ分解能を向上させるプロセスウインドウを拡大する。例えば、リソグラフィプロセス中の焦点深度の範囲は、露光後ベーキングプロセス中に光酸発生剤によって生成される酸の拡散の適切な制御及び支援によって、効率的に改善され、拡大されてよい。露光後ベーキングプロセス中に制御される適切なプロセスパラメータは、ベーキングプロセス中に生成される電界を制御するための電圧レベル及び周波数、電界を生成するための電圧電力の連続モード又はパルスモード、基板の温度制御、及び露光後ベーキングプロセス中に印加される電界の持続時間を含む。一実施例では、露光後ベーキングプロセス中の動的周波数制御が利用されてよい。
[0019] 図2は、一実施形態による基板を処理するための装置の概略断面図である。図2の実施形態で示されているように、該装置は、減圧処理チャンバ200の形態を採ってよい。他の実施形態では、処理チャンバ200が、減圧源(vacuum source)に連結されていなくてよい。
[0020] 処理チャンバ200は、独立したスタンドアローン処理チャンバであってよい。代替的に、処理チャンバ200は、例えば、インライン処理システム、クラスタ処理システム、又はトラック処理システムなどの、処理システムの部分であってよい。処理チャンバ200は、以下で詳しく説明され、露光前ベーク、露光後ベーク、及び/又は他の処理のステップのために使用されてよい。
[0021] 処理チャンバ200は、チャンバ壁202、電極アセンブリ216、及び基板支持アセンブリ238を含む。チャンバ壁202には、側壁206、リッドアセンブリ210、及び底部208が含まれる。チャンバ壁202は、部分的に処理空間212を取り囲む。処理空間212は、基板240の処理チャンバ200の内外への移動を容易にするように構成された基板移送ポート(図示せず)を通してアクセスされる。処理チャンバ200が処理システムの部分である実施形態では、基板移送ポートが、基板240の隣接する移送チャンバの内外への移送を可能にし得る。
[0022] 処理空間212を排気口に連結するために、処理チャンバ200のリッドアセンブリ210、側壁206、又は底部208のうちの1つを通して、ポンピングポート214が任意選択的に配置され得る。排気口は、ポンピングポート214を減圧ポンプといった様々な減圧ポンプ構成要素と連結する。ポンピング構成要素によって、処理空間212の圧力が低減され、任意のガス及び/又はプロセス副産物が処理チャンバ200から外に排出され得る。処理チャンバ200は、1以上のソース化合物(source compound)を処理空間212の中に供給するための1以上の供給源204に連結され得る。
[0023] 基板支持アセンブリ238は、処理チャンバ200内で中央に配置される。基板支持アセンブリ238は、処理中に基板240を支持する。基板支持アセンブリ238は、少なくとも1つの埋め込みヒータ232を封入する本体224を含んでよい。幾つかの実施形態では、基板支持アセンブリ238が、静電チャックであってよい。抵抗要素などのヒータ232が、基板支持アセンブリ238内に配置される。ヒータ232は、基板支持アセンブリ238及びその上に配置された基板240を所定温度まで制御可能に加熱する。ヒータ232は、基板240の温度を、迅速に一定の割合で上昇させ、基板240の温度を正確に制御するように構成される。幾つかの実施形態では、ヒータ232が、電源274に接続され、電源274によって制御される。電源274は、代替的又は追加的に、基板支持アセンブリ238に電力を印加してよい。電源274は、以下で説明される電源270と同様に構成されてよい。更に、ヒータ232は、基板支持アセンブリ238上に配置された基板240に熱エネルギーを提供する必要に応じて、チャンバ壁、チャンバライナ、基板の周囲境界を画定するエッジリング、チャンバ天井などの、処理チャンバ200の他の箇所に配置されてもよいことに留意されたい。
[0024] 幾つかの実施形態では、基板支持アセンブリ238が、回転するように構成されてもよい。幾つかの実施形態では、基板支持アセンブリ238が、z軸の周りで回転するように構成される。基板支持アセンブリ238は、連続的又は一定に回転するように構成されてもよいし、或いは、基板支持アセンブリ238は、ステップ状又はインデックス状(indexing manner)に回転するように構成されてもよい。例えば、基板支持体アセンブリ238は、90度、180度、又は270度といった所定量で回転し得、所定量の時間だけ停止し得る。
[0025] 概して、基板支持アセンブリ238は、第1の表面234と第2の表面226とを有する。第1の表面234は、第2の表面226の反対側である。第1の表面234は、基板240を支持するように構成される。第2の表面226には、ステム242が連結されている。基板240は、誘電体基板、ガラス基板、半導体基板、又は導電性基板といった、任意の種類の基板であってよい。基板240は、その上に配置された材料層245を有し得る。材料層245は、任意の所望の層であってよい。幾つかの実施形態では、基板240が、2つ以上の材料層245を有してよい。基板240はまた、材料層245の上に配置されたフォトレジスト層250も有する。基板240は、フォトリソグラフィプロセスの露光段階において、既に電磁放射に露光されている。フォトレジスト層250は、露光段階から内部に形成された潜像線(latent image line)255を有する。潜像線255は、実質的に平行であり得る。他の実施形態では、潜像線255が、実質的に平行でなくてもよい。また図示されているように、基板支持アセンブリ238の第1の表面234は、z方向に距離dだけ電極アセンブリ216から分離されている。ステム242は、基板支持アセンブリ238を、上昇した処理位置(図示されているような)と下降した基板移送位置との間で移動させるためのリフトシステム(図示せず)に連結される。リフトシステムは、基板240の位置をz方向に正確且つ厳密に制御し得る。幾つかの実施形態では、リフトシステムがまた、基板240をx方向、y方向、又はx方向及びy方向に移動させるようにも構成され得る。ステム242は、追加的に、基板支持アセンブリ238と処理チャンバ200の他の構成要素との間の電気及び熱電対の導線用の導管を提供する。処理空間212と処理チャンバ200外側の大気との間に減圧シールを設け、基板支持アセンブリ238のz方向の移動を容易にするために、ベローズ246が基板支持アセンブリ238に連結される。
[0026] 任意選択的に、リッドアセンブリ210は入口280を含み、供給源204により供給されたガスは、入口280を通って処理チャンバ200に入ってよい。任意選択的に、供給源204は、窒素、アルゴン、ヘリウム、その他のガス、又はそれらの組み合わせといったガスを用いて、処理空間212を制御可能に加圧してよい。供給源204からのガスによって、処理チャンバ200内に制御された環境が生成され得る。任意選択的に、アクチュエータ290が、リッドアセンブリ210と電極アセンブリ216との間に連結され得る。アクチュエータ290は、電極アセンブリ216をx、y、及びz方向のうちの1以上に移動させるように構成される。本明細書では、x及びy方向は、横方向又は横次元(lateral dimension)と称される。アクチュエータ290によって、電極アセンブリ216が基板240の表面を走査することが可能になる。アクチュエータ290はまた、距離dが調節されることも可能にする。幾つかの実施形態では、電極アセンブリ216が、固定ステム(図示せず)によってリッドアセンブリ210に連結される。他の実施形態では、電極アセンブリ216が、処理チャンバ200の底部208の内側、基板支持アセンブリ238の第2の表面226、又はステム242に連結され得る。更に他の実施形態では、電極アセンブリ216が、基板支持アセンブリ238の第1の表面234と第2の表面226との間に埋め込まれ得る。
[0027] 電極アセンブリ216は、少なくとも第1の電極258と第2の電極260とを含む。図示されているように、第1の電極258は、電源270に結合され、第2の電極260は、任意選択的な電源275に結合される。他の実施形態では、第1の電極258と第2の電極260とのうちの一方が、電源に結合され、他方の電極が接地(ground)に結合されてよい。幾つかの実施形態では、第1の電極258と第2の電極260とが、接地に結合され、電力を基板支持体に供給する電源274が、正バイアスと負バイアスとの間で切り替わる二極電源である。幾つかの実施形態では、電源270又は電源275は、第1の電極258と第2の電極260との両方に結合されてよい。他の実施形態では、電源270又は電源275が、第1の電極258、第2の電極260、及び基板支持アセンブリ238に結合されてよい。そのような実施形態では、第1の電極258、第2の電極260、及び基板支持アセンブリ238の各々へのパルス遅延が異なってよい。電極アセンブリ216は、基板支持アセンブリ238の第1の表面によって画定されるx‐y平面に平行な電界を生成するように構成され得る。例えば、電極アセンブリ216は、y方向、x方向、又はx‐y平面内の他の方向のうちの1つに電界を生成するように構成されてよい。
[0028] 電源270及び電源275は、例えば、約500Vと約100kVとの間の電圧を電極アセンブリ216に供給して、約0.1MV/mと約100MV/mとの間の強度を有する電界を生成するように構成される。幾つかの実施形態では、電源274がまた、電力を電極アセンブリ216に供給するようにも構成され得る。幾つかの実施形態では、電源270、電源274、又は電源275のうちのいずれか又は全部が、パルス直流(DC)電源である。パルスDC波は、半波整流器又は全波整流器からのものであってよい。DC電力は、約10Hzと1MHzとの間の周波数を有してよい。パルスDC電力のデューティサイクルは、約5%と約95%との間(約20%と約60%との間など)であってよい。幾つかの実施形態では、パルスDC電力のデューティサイクルが、約20%と約40%との間であってよい。他の実施形態では、パルスDC電力のデューティサイクルが、約60%であってよい。パルスDC電力の上昇及び下降時間は、約1nsと約1000nsとの間(例えば約10nsと約500nsとの間)であってよい。他の実施形態では、パルスDC電力の立ち上がり及び立ち下がり時間が、約10nsと約100nsとの間であってよい。幾つかの実施形態では、パルスDC電力の立ち上がり及び立ち下がり時間が、約500nsであってよい。幾つかの実施形態では、電源270、電源274、及び電源275のいずれか又は全部が、交流電源である。他の実施形態では、電源270、電源274、及び電源275のいずれか又は全部が、直流電源である。
[0029] 幾つかの実施形態では、電源270、電源274、及び電源275のいずれか又は全部が、DCオフセットを使用してよい。DCオフセットは、例えば、印加電圧の約0%と約75%との間(印加電圧の約5%と約60%との間など)であってよい。幾つかの実施形態では、第1の電極258及び第2の電極260が負にパルス化される間に、基板支持アセンブリ238もまた負にパルス化される。これらの実施形態では、第1の電極258及び第2の電極260並びに基板支持アセンブリ238が同期されるが、時間内でオフセットされる。例えば、第1の電極258は、基板支持体アセンブリが「0」の状態である間、「1」の状態であってよく、次いで、基板支持体アセンブリ238は、第1の電極258が「0」の状態である間、「1」の状態であってよい。
[0030] 電極アセンブリ216は、近似的に基板支持アセンブリ238の幅にわたり広がっている。他の実施形態では、電極アセンブリ216の幅が、基板支持アセンブリ238の幅よりも小さくてもよい。例えば、電極アセンブリ216は、基板支持アセンブリ238の幅の約20%と約40%との間といった、約10%と約80%との間にわたり広がってよい。電極アセンブリ216の幅が基板支持アセンブリ238の幅よりも小さい実施形態では、アクチュエータ290が、基板支持アセンブリ238の第1の表面234上に配置された基板240の表面にわたり、電極アセンブリ216を走査させてよい。例えば、アクチュエータ290は、電極アセンブリ216が基板240の表面全体を走査するように、走査させてよい。他の実施形態では、アクチュエータ290が、基板240ある一部分だけを走査させてよい。代替的に、基板支持アセンブリ238が、電極アセンブリ216の下を走査してよい。
[0031] 幾つかの実施形態では、1以上の磁石296が処理チャンバ200内に配置されてよい。図2で示されている実施形態では、磁石296が、側壁206の内面に結合されている。他の実施形態では、磁石296が、処理チャンバ200内の他の箇所、又は処理チャンバ200の外側に配置されてよい。磁石296は、例えば、永久磁石又は電磁石であってよい。代表的な永久磁石には、セラミック磁石及びレアアース磁石が含まれる。磁石296に電磁石が含まれる実施形態では、磁石296が、電源(図示せず)に接続され得る。磁石296は、基板支持アセンブリ238の第1の表面234で電極アセンブリ216によって生成された電界線の方向と垂直又は平行な方向に磁界を生成するように構成される。例えば、磁石296は、電極アセンブリ216によって生成された電界がy方向にあるときには、x方向に磁界を生成するように構成され得る。磁界は、潜像線255と平行な方向といった磁界に垂直な方向に、フォトレジスト層250内の光酸発生剤によって生成された荷電種355(図3で示されている)及び分極種(図示されず)を駆動する。荷電種355及び分極種を潜像線255と平行な方向に駆動することによって、露光されたフォトレジスト層のラインプロファイルが制御されてよい。荷電種355並びに分極種の均一な方向性及び/又は制御された移動が、図3の両方向矢印370によって示されている。対照的に、磁界が印加されないときに、荷電種355及び分極種は、矢印370’で示されているように、ランダムに移動してよい。
[0032] 引き続き図3を参照すると、電極アセンブリ216は、少なくとも第1の電極258及び第2の電極260を含む。第1の電極258には、第1の端子310、第1の支持構造330、及び1以上のアンテナ320が含まれる。第2の電極260には、第2の端子311、第2の支持構造331、及び1以上のアンテナ321が含まれる。第1の電極258の第1の端子310、第1の支持構造330、及び1以上のアンテナ320は、単一の本体を形成してよい。代替的に、第1の電極258は、共に連結され得る別個の部分を含んでよい。例えば、1以上のアンテナ320は、第1の支持構造330から取り外し可能であってよい。同様に、第2の電極260は、単一の本体であり得るか、又は取外し可能な別個の部品から構成されてよい。第1の電極258及び第2の電極260は、任意の適切な技法によって製作されてよい。例えば、第1の電極258及び第2の電極260は、機械加工、鋳造、又は積層造形によって製作されてよい。
[0033] 第1の支持構造330は、金属などの導電性材料から作製されてよい。例えば、第1の支持構造330は、シリコン、ポリシリコン、炭化ケイ素、モリブデン、アルミニウム、銅、グラファイト、銀、プラチナ、金、パラジウム、亜鉛、他の材料、又はこれらの混合物で作製されてよい。第1の支持構造330は、任意の所望の寸法を有し得る。例えば、第1の支持構造330の長さLは、約25mmから約450mmの間、例えば、約100mmから約300mmの間であってよい。幾つかの実施形態では、第1の支持構造330が、標準的な半導体基板の直径と近似的に等しい長さLを有する。他の実施形態では、第1の支持構造330が、標準的な半導体基板の直径より大きい又は小さい長さLを有する。例えば、種々の代表的な実施形態では、第1の支持構造330の長さLが、約25mm、約51mm、約76mm、約100mm、約150mm、約200mm、約300mm、又は約450mmであってよい。第1の支持構造330の幅Wは、約2mmから約25mmの間であってよい。他の実施形態では、第1の支持構造330の幅Wが、約2mmを下回る。他の実施形態では、第1の支持構造330の幅Wが、約25mmを上回る。第1の支持構造330の厚さは、約5mmなど、約2mmから約8mmの間といった、約1mmから約10mmの間であってよい。幾つかの実施形態では、第1の支持構造330が、正方形、円筒形、矩形、卵型、又は他の形状であってよい。湾曲した外面を有する実施形態は、アーク放電を回避してよい。
[0034] 第2の支持構造331は、第1の支持構造330と同じ材料で作製されてよい。第1の支持構造330に適した寸法範囲は、第2の支持構造331にも適している。幾つかの実施形態では、第1の支持構造330と第2の支持構造331とが、同じ材料で作製される。他の実施形態では、第1の支持構造330と第2の支持構造331とが、異なる材料で作製される。第1の支持構造330と第2支持構造331との長さL、幅W、及び厚さは、同じであってよく又は異なってもよい。
[0035] 第1の電極258の1以上のアンテナ320もまた、導電性材料から作製されてよい。1以上のアンテナ320は、第1の支持構造330と同じ材料で作製されてよい。第1の電極258の1以上のアンテナ320は、任意の所望の寸法を有してよい。例えば、1以上のアンテナ320の長さL1は、約25mmから約450mmの間、例えば、約100mmから約300mmの間であってよい。幾つかの実施形態では、1以上のアンテナ320が、標準的な基板の直径と近似的に等しい長さL1を有する。他の実施形態では、1以上のアンテナ320の長さL1が、標準的な基板の直径の約75%と90%との間であってよい。1以上のアンテナ320の幅W1は、約2mmから約25mmの間であってよい。他の実施形態では、1以上のアンテナ320の幅W1が、約2mmを下回る。他の実施形態では、1以上のアンテナ320の幅W1が、25mmを上回る。1以上のアンテナ320の厚さは、約2mmと約8mmとの間といった、約1mmと約10mmとの間であってよい。1以上のアンテナ320は、正方形、矩形、卵型、円形、円筒形、又は別の形状の断面を有してよい。丸い外面を有する実施形態は、アーク放電を回避してよい。
[0036] アンテナ320の各々は、同じ寸法を有してよい。代替的に、1以上のアンテナ320のうちの幾つかは、他のアンテナ320のうちの1以上とは異なる寸法を有してよい。例えば、1以上のアンテナ320のうちの幾つかは、他のアンテナ320のうちの1以上とは異なる長さL1を有してよい。1以上のアンテナ320の各々は、同じ材料で作製されてよい。他の実施形態では、アンテナ320のうちの幾つかが、他のアンテナ320とは異なる材料で作製されてよい。
[0037] アンテナ321は、アンテナ320と同じ範囲内の材料で作製されてよい。アンテナ320にとって適した寸法の範囲は、アンテナ321にとってもまた適したものである。幾つかの実施形態では、アンテナ320とアンテナ321とが、同じ材料で作製される。他の実施形態では、アンテナ320とアンテナ321とが、異なる材料で作製される。アンテナ320とアンテナ321の長さL1、幅W1、及び厚さは、同じであってよく又は異なってもよい。
[0038] アンテナ320は、1と約40との間の数のアンテナ320を含んでよい。例えば、アンテナ320は、約10から約20個のアンテナ320といった、約4から約40個のアンテナ320を含んでよい。他の実施形態では、アンテナ320が、40を上回る数のアンテナ320を含んでよい。幾つかの実施形態では、アンテナ320の各々が、第1の支持構造330と実質的に垂直であってよい。例えば、第1の支持構造330が直線的である実施形態では、各アンテナ320が、第1の支持構造330と実質的に平行であってよい。各アンテナ320は、他のアンテナ320の各々と実質的に平行であってよい。アンテナ321の各々は、第2の支持構造331及び他の各アンテナ321に対して同様に配置されてよい。
[0039] アンテナ320の各々は、終端部323を有する。アンテナ321の各々は、終端部325を有する。第1の支持構造330と終端部325との間に、距離Cが規定される。第2の支持構造331と終端部323との間に、距離C’が規定される。距離CとC’との各々は、約1mmと約10mmとの間であってよい。他の実施形態では、距離CとC’とは、約1mm未満であってよく、又は約10mmを上回ってよい。幾つかの実施形態では、距離Cと距離C’とは等しい。他の実施形態では、距離Cと距離C’とは異なる。
[0040] アンテナ321のうちの1つとアンテナ321のうちの隣接する1つとの対向する面の間に、距離Aが規定される。1つのアンテナ320と隣接する1つのアンテナ320との対向する面の間に、距離A’が規定される。距離AとA’とは、約6mmを上回ってよい。例えば、距離AとA’とは、約10mmと約15mmとの間といった、約6mmと約20mmとの間であってよい。各隣接するアンテナ321、320の間の距離AとA’とは、同じであっても異なってもよい。例えば、1以上のアンテナ320の第1と第2のアンテナ、第2と第3のアンテナ、及び第3と第4のアンテナの間の距離A’は、異なってよい。他の実施形態では、距離A’が同じであってよい。
[0041] アンテナ320のうちの1つと隣接するアンテナ321のうちの1つとの対向する面の間に、距離Bが規定される。距離Bは、例えば、約1mmを上回ってよい。例えば、距離Bは、約4mmと約6mmとの間といった、約2mmと約10mmとの間であってよい。間で規定された距離Bは同じであってよく、各距離Bは異なってもよく、又は幾つかの距離Bは同じであってよく、幾つかの距離Bは異なってもよい。距離Bを調整することによって、電界の強度を容易に制御することが可能になる。
[0042] アンテナ320、321は、フォトレジスト層250上方で交互の配置で配向されてよい。例えば、第1の電極258のアンテナ320及び第2の電極260のアンテナ321は、アンテナ320のうちの少なくとも1つが、アンテナ321のうちの2つの間に配置されるように、配置されてよい。更に、少なくとも1つのアンテナ321は、アンテナ320のうちの2つの間に配置されてよい。幾つかの実施形態では、アンテナ320のうちの1つを除く全てが、アンテナ321のうちの2つの間に配置される。これらの実施形態では、アンテナ321のうちの1つを除く全てが、アンテナ320のうちの2つの間に配置される。幾つかの実施形態では、アンテナ320とアンテナ321とは、各々、1つのアンテナだけを有してもよい。
[0043] 幾つかの実施形態では、第1の電極258が、第1の端子310を有し、第2の電極260が、第2の端子311を有する。第1の端子310は、第1の電極358と、電源270、電源275、又は接地との間の接点であり得る。第2の端子311は、第2の電極260と、電源270、電源275、又は接地との間の接点であり得る。第1の端子310と第2の端子311とは、それぞれ、第1の電極258と第2の電極260の一端にあるものとして図示されている。他の実施形態では、第1の端子310と第2の端子311とが、それぞれ、第1の電極258と第2の電極の他の位置に配置されてもよい。第1の端子310と第2の端子311とは、それぞれ、第1の支持構造330と第2の支持構造331とは異なる形状及びサイズを有する。他の実施形態では、第1の端子310と第2の端子311とが、概して、それぞれ、第1の支持構造330と第2の支持構造331と、同一の形状及びサイズを有してよい。
[0044] 動作では、電源270、電源274、又は電源275などの電源から、第1の端子310、第2の端子311、及び/又は基板支持アセンブリ238に、電圧が供給されてよい。供給された電圧によって、1以上のアンテナ320の各アンテナと1以上のアンテナ321の各アンテナとの間に電界が生成される。電界は、1以上のアンテナ320のうちのアンテナと1以上のアンテナ321のうちの隣接するアンテナとの間で最も強くなる。アンテナ320、321の、交互の且つ整列した空間的関係によって、基板支持アセンブリ238の第1の表面234によって画定される平面と平行な方向に電界が生成される。基板240は、潜像線255が電極アセンブリ216によって生成された電界線と平行になるように、第1の表面234上に配置される。荷電種355は帯電しているため、荷電種355は電界によって影響を受ける。フォトレジスト層250内で光酸発生剤によって生成された荷電種355は、電界によって電界の方向に駆動される。潜像線255と平行な方向に荷電種355を駆動することによって、ラインエッジ粗さ(line edge roughness)が低減されてよい。その均一な方向の移動は、両矢印370によって図示されている。対照的に、第1の端子310又は第2の端子311に対して電圧が印加されていない場合、任意の特定の方向に荷電種355を駆動する電界は生成されない。その結果、荷電種355は、矢印370’によって示されているように、ランダムに移動し得る。その結果、ワリネス(wariness)又は線粗さが生じることがある。
[0045] 図4は、リソグラフィ露光プロセス後に基板400上に配置されたフィルム構造404を描いている。フォトレジスト層407が、フィルム構造404上に配置される。フィルム構造404は、基板400上に配置されたターゲット層402を含む。ターゲット層402は、その後、ターゲット層402内に所望のデバイス特徴を形成するためにパターニングされる。リソグラフィ解像度及びフォトレジストプロファイル制御を向上させるために、必要に応じて、ハードマスク、有機材料などの下層、無機材料、又は有機材料若しくは無機材料の混合物、又は他の適切な材料などの更なる層が、ターゲット層402の上且つフォトレジスト層407の下に配置されてよいことに留意されたい。
[0046] フォトレジスト層407は、化学増幅反応を受けることができるポジ型フォトレジスト及び/又はネガ型フォトレジストであってよい。フォトレジスト層407は、高分子有機材料である。
[0047] 下層又はハードマスク層が使用される実施形態では、下層が、酸剤(例えば、光酸発生剤(PAG)若しくは酸触媒)、塩基剤、接着促進剤、又は感光性成分などの1以上の添加剤を含んでよい。1以上の添加剤は、有機溶媒又は樹脂及び/若しくは無機マトリックス材料内に配置されてよい。光酸発生剤(PAG)及び/又は酸触媒を含む酸剤の適切な例は、スルホン酸(例えば、p‐トルエンスルホン酸、スチレンスルホン酸)、スルホネート(例えば、ピリジニウムp‐トルエンスルホネート、ピリジニウムトリルロメタンスルホネート、ピリジニウム3‐ニトロベンゼンスルホネート)、及びそれらの混合物から構成される群から選択されてよい。適切な有機溶媒には、ホモポリマー、又は2以上の繰り返し単位及びポリマー主鎖を含有するより高次のポリマーを含んでよい。有機溶媒の適切な例には、プロピレングリコールメチルエーテルアセテート(PGMEA)、乳酸エチル(EL)、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールn‐プロピルエーテル(PnP)、シクロヘキサノン、アセトン、ガンマブチロラクトン(GBL)、及びこれらの混合物が含まれるが、これらに限定されない。
[0048] 一実施例では、下層が、リソグラフィ露光プロセス、露光前ベーキングプロセス、又は露光後ベーキングプロセス中に、上側フォトレジスト層407からの光酸の流れ方向の制御を支援するために、活性酸剤、塩基剤、又はイオン性/非イオン性種を提供する。
[0049] ハードマスク層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、アモルファスカーボン、ドープされたアモルファスカーボン、TEOS酸化物、USG、SOG、有機ケイ素、酸化物含有材料窒化チタン(oxide containing material titanium nitride)、酸窒化チタン、これらの組み合わせなどから構成される群から製作された、反射防止コーティング(ARC)層であってよい。
[0050] 上述されたように、リソグラフィ露光工プロセス、露光前又は露光後ベーキングプロセス、特に露光後ベーキングプロセス中に、磁石296からの電界と同様に、電極116からの電界が印加されてよい。図4で描かれている実施例では、リソグラフィ露光プロセス後、露光後ベーキングプロセス中に、電界及び/又は磁界が印加される。露光後ベーキングプロセス中、熱エネルギーが、電界及び/又は磁界と同様に、基板400に印加される。光酸は、図4で示されているように、フォトレジスト層407内の第1の領域408において生成され、その中の光酸発生剤(PAG)は、前のリソグラフィ露光プロセスからのUV光放射などの光放射412に露光されている。しかし、しばしば、光酸の移動は概してランダムであり、光酸分布は、第1の領域408内に均一に分布しないか、又は第1の領域408と第2の領域406との間で画定される(第2の領域406と境界を接する)平面内に形成される界面430に明確な境界が設定されない場合があり、その結果、矢印422で示されているように、光酸を有することが意図されない第2の領域406の中に光酸の一部がドリフトし拡散する。したがって、矢印422によって図示されているように、第2の領域406の中にドリフトする横方向光酸移動(例えば、基板400の平面表面に平行な方向)は、リソグラフィプロセス中、ラインエッジ粗さ、解像度損失、フォトレジストフッティング、プロファイル変形、及び/又は焦点深度(DOF)のための狭いウインドウをもたらすことがあり、下層のターゲット層402への不正確な特徴転写及び/又は最終的にデバイス故障につながる。
[0051] 本明細書で説明される実施例は、光酸からの電子の移動として図示されているが、電荷、荷電粒子、光子、イオン、電子、又は任意の形態を採る反応種を含む任意の適切な種も、電界がフォトレジスト層407に印加されるときに同様の効果を有し得ることに留意されたい。
[0052] 露光後ベーキングプロセス中にフォトレジスト層407に電界及び/又は磁界を印加することによって、露光された第1の領域408内の光酸の分布が、効率的に再方向付けされ、制御され、閉じ込められてよい。フォトレジスト層407に印加される電界は、光酸を、隣接する第2の領域406に拡散することなく、最小の横方向運動(例えば、矢印422によって示されるx方向)で、垂直方向(例えば、矢印416及び420によって示されるy方向、基板400の平面に対して実質的に垂直)に移動させてよい。一般的に、光酸は、それに印加される電界又は磁界によって影響され得る特定の極性を有してよく、したがって、光酸は特定の方向に配向され、隣接する保護された第2の領域406に交差することなく、露光された第1の領域408内で光酸の所望の指向性移動が生成される。更に、フォトレジストラインエッジ粗さ、リソグラフィプロセス中の焦点深度(DOF)のためのプロセスウインドウ、及びライン臨界寸法均一性も、露光後ベーキングプロセスを実行するときに、良好に制御され、強化され、改善され得る。
[0053] 一実施例では、光酸が、更に、矢印414で示されているように、横方向平面に沿って、長手方向(例えば、フォトマスク410によって保護されるフォトレジスト層407の第2の領域406と相互接続される平面内で規定される、矢印428で示されるz方向)に移動するように制御され、それにより、矢印422で示されるように、フォトレジスト層407の第2の領域406内にx方向で交差することなく、露光された第1の領域408内に閉じ込められた光酸の長手方向分布を制御することができる。フォトレジスト層407に生成される磁界によって、電子は、所望の三次元分布で光酸を更に制御するように、長手方向(例えば、矢印428で示されるz方向)などの、ある磁力線に沿って軌道を回ってよい。磁界と電界との間の相互作用は、所望されるような特定の経路に光酸の軌道を最適化してよく、光酸は、露光された第1の領域408内に閉じ込められる。更に、垂直方向の光酸移動は、露光ツールによって自然に生成される目立つ波を滑らかにし、それによって露光解像度を高めることが所望される。
[0054] 一実施形態では、約100MV/mと約2000MV/mとの間の強度を有する電界が、露光後ベーキングプロセス中にフォトレジスト層407に印加されてよく、フォトレジスト層407内で生成された光酸を、垂直方向(例えば、y方向)に閉じ込める。一実施形態では、5テスラ(T)と500テスラ(T)との間の磁界が、電界と共に、露光後ベーキングプロセス中に、フォトレジスト層407に印加されてよく、フォトレジスト層407内で生成された光酸が、長手方向と垂直方向との両方、例えばy及びz方向に閉じ込められる(横方向、例えばx方向は最小)。電界と共に磁界を組み合わせる一方で、生成される光酸は、更に、長手方向に、例えば、矢印428で示されている方向に分布するように更に閉じ込められてよく、フォトレジスト層407の第1の領域408内に残り、露光された第1の領域408内で界面430に沿って平行である。
[0055] 一実施形態では、電界及び磁界が、必要に応じて別々に印加されてもよい。少なくとも1つの電界及び磁界の1以上の特性が、印加期間中に動的に変更されてよく、露光後ベーキングプロセス内の複数の期間にわたって、場に異なる密度、振幅、及び/又は形状を持たせるなど、経時的に場の形状を制御する。例えば、露光後ベーキングプロセス中に印加される電界は、特定の方向及びやり方で光酸の移動を制限することができるやり方で制御されてよい。一実施例では、露光後ベーキングプロセス中に、電界を生成するために供給される電力は、約100ボルトと約5000ボルトとの間、例えば約100ボルトと約1000ボルトとの間の範囲で制御されてよい。
[0056] 一実施例では、電力が、変調方式で印加されてよい。電力は、電流と電圧とのうちの少なくとも1つを変調させることによって変調されてよい。電力変調の周波数は、0.1Hzよりも大きく、例えば、0.5と10Hzとの間である。更に、電力印加のデューティサイクルは、25と75パーセントとの間であるが、代替的に、より大きいか又はより小さいデューティサイクルを有してもよい。例えば、電力は、電力印加なしの期間によって分離された複数の期間中に印加されてよい。
[0057] 一実施例では、電圧が、電流を一定に保持しながら変調される。電圧は、ステップ状に、線形的に、又は他の電圧印加曲線を使用して、変調されてよい。ステップ状に変調されるときに、電圧は、第1の電圧とより低い第2の電圧との間で変調されてよい。第1の実施例では、第1及び第2の電圧の両方が正である。第2の実施例では、第1の電圧が正であり、第2の電圧がゼロである。第3の実施例では、第1の電圧が正であり、第2の電圧が負である。上述の第2及び第3の実施例では、第1の電圧の振幅が、第2の電圧の振幅以上である。上述の実施例では、第1の電圧の印加の持続時間が、第2の電圧の印加の持続時間と同じであってよく、それより長くてよく、又はそれより短くてもよい。上述の実施例では、第1及び第2の電圧の印加が、1秒当たり少なくとも2回、例えば、1秒当たり少なくとも30~120回、繰り返されてよい。更に、第1及び第2の電圧の印加は、第1の期間の間、第1の周波数で繰り返されてよく、第1及び第2の電圧の印加は、第2の期間の間、第2の周波数で繰り返されてよい。任意選択的に、第1及び第2の電圧の印加後、且つ第1及び第2の電圧の第2の印加の繰り返しの前に、第3の電圧が印加されてよい。
[0058] 別の一実施例では、電流が、電圧を一定に保持しながら変調される。電流は、ステップ状に、線形的に、又は他の電流印加曲線を使用して、変調されてよい。ステップ状に変調されるときに、電流は、第1の電流とより低い第2の電流との間で変調されてよい。第1の実施例では、第1及び第2の電流の両方が正である。第2の実施例では、第1の電流が正であり、第2の電流がゼロである。第3の実施例では、第1の電流が正であり、第2の電流が負である。上述の第2及び第3の実施例では、第1の電流の振幅が、第2の電流の振幅以上である。上述の実施例では、第1の電流の印加の持続時間が、第2の電流の印加の持続時間と同じであってよく、それより長くてよく、又はそれより短くてもよい。上述の実施例では、第1及び第2の電流の印加が、1秒当たり少なくとも2回、例えば、1秒当たり少なくとも30~120回、繰り返されてよい。更に、第1及び第2の電流の印加は、第1の期間の間、第1の周波数で繰り返されてよく、第1及び第2の電流の印加は、第2の期間の間、第2の周波数で繰り返されてよい。任意選択的に、第1及び第2の電流の印加後、且つ第1及び第2の電流の第2の印加の繰り返しの前に、第3の電流が印加されてもよい。
[0059] 更に別の一実施例では、電流と電圧との両方が同時に変調される。
[0060] 他の実施例では、磁界と電界との少なくとも一方又は両方が変調されてよい。磁界及び/又は電界は、電力を変調するか又は変調しないで変調されてよい。磁界及び/又は電界の周波数は、0.1Hzより大きく、例えば、0.5と10Hzとの間である。加えて、磁界及び/又は電界の印加のデューティサイクルは、25と75パーセントとの間であるが、代替的に、より大きい又は小さいデューティサイクルを有してよい。例えば、磁界及び/又は電界の一方若しくは両方は、無電磁界印加の期間によって分離される複数の期間中に印加されてよい。
[0061] 一実施例では、電界が、磁界を変調させていない間に変調される。電界は、ステップ状に、線形的に、又は他の電気印加曲線を使用して変調されてよい。ステップ状に変調されるときに、電界は、第1の電界密度とより低い第2の電界密度との間で変調されてよい。第1の実施例では、第1及び第2の電界の両方が正である。第2の実施例では、第1の電界が正であり、第2の電界がゼロである。第3の実施例では、第1の電界が正であり、第2の電界がゼロである。上述の第2及び第3の実施例では、第1の電界の振幅が、第2の電界の振幅以上である。上述の実施例では、第1の電界の印加の持続時間が、第2の電界の印加の持続時間と同じであってよく、それより長くてよく、又はそれより短くてもよい。上述の実施例では、第1及び第2の電界の印加が、1秒当たり少なくとも2回、例えば、1秒当たり少なくとも30~120回、繰り返されてよい。更に、第1及び第2の電界の印加は、第1の期間の間、第1の周波数で繰り返されてよく、第1及び第2の電界の印加は、第2の期間の間、第2の周波数で繰り返されてよい。任意選択的に、第1及び第2の電界の印加後、且つ第1及び第2の電界の第2の印加の繰り返しの前に、第3の電界が印加されてもよい。
[0062] 別の一実施例では、磁界が、電界を変調させていない間に変調される。磁界は、ステップ状に、線形的に、又は他の磁気印加曲線を使用して変調されてよい。ステップ状に変調されるときに、磁界は、第1の磁界密度とより低い第2の磁界密度との間で変調されてよい。第1の実施例では、第1及び第2の磁界の両方が正である。第2の実施例では、第1の磁界が正であり、第2の磁界がゼロである。第3の実施例では、第1の磁界が正であり、第2の磁界が負である。上述の第2及び第3の実施例では、第1の磁界の振幅が、第2の磁界の振幅以上である。上述の実施例では、第1の磁界の印加の持続時間が、第2の磁界の印加の持続時間と同じであってよく、それより長くてよく、又はそれより短くてもよい。上述の実施例では、第1及び第2の電圧の印加が、1秒当たり少なくとも2回、例えば、1秒当たり少なくとも30~120回、繰り返されてよい。更に、第1及び第2の磁界の印加は、第1の期間の間、第1の周波数で繰り返されてよく、第1及び第2の磁界の印加は、第2の期間の間、第2の周波数で繰り返されてよい。任意選択的に、第1及び第2の磁界の印加後、且つ第1及び第2の磁界の第2の印加の繰り返しの前に、第3の磁界が印加されてもよい。
[0063] 更に、印加される電圧電力は、必要に応じて、連続モード又はパルスモードであってもよい。一実施例では、電界を生成するために印加される電圧電力が、パルスモードである。一実施例では、電界を生成するために供給される電圧電力は、各デューティサイクルの約5%と75%との間でパルス化されてもよい。各デューティサイクルは、例えば各時間単位の間で、約0.1秒と約10秒との間、例えば約5秒である。
[0064] 一実施例では、生成される電界の周波数が、露光後ベーキングプロセス中の任意の時点で調整又は変更されてよい。一実施例では、電界が、露光後ベーキングプロセス中に、動的周波数制御モードの下で生成されてよい。例えば、生成される電界の周波数は、必要に応じて、0.5秒毎から約10秒毎などの、設定された期間中に第1のレベルから第2のレベルに変更されてよい。生成される電界の周波数は、必要に応じて、所与の時間モードにおいて、第1のレベルと第2のレベルとの間で前後に切り替えられてよい。各切り替えにおいて、電界の周波数の選択されたレベルは、必要に応じて、約0.5秒と約5秒との間などの、決定された期間だけ維持されてよい。生成される電界の周波数を動的に変更することによって、フォトレジスト層のプロファイルも必要に応じて変更されてよい。
[0065] 先ず、図6に描かれている露光されたフォトレジスト層407を参照する。上述の図4に描かれたフォトレジスト層407に類似したフォトレジスト層407は、側壁604及び上面602を有する。フォトレジスト層407は、ターゲット層402の上面606上に配置される。上面602及び側壁604は、第1の角度α(例えば、コーナー角度)を規定する。露光後ベーキングプロセス中に印加される電界の動的周波数制御モードが利用されるときに、第1の角度αは、例えば90度の理想的な直角に近い、約85度と約95度との間など、約75度と105度との間で得られ、制御されてよい。同様に、フォトレジスト層407の側壁604及びターゲット層402の上面606はまた、90度の理想的な直角に近いような、85度と約95度との間などの、約75度と105度との間の第2の角度β(例えば、コーナー角度)を規定する。従来の実践は、しばしば、ターゲット層402の上面606にスカムを残しているので、露光後ベーキングプロセス中に印加される電界の動的周波数制御モードを利用することによって、残留及び/又はスカムのない表面などのような比較的清浄な表面が得られてよい。更に、よりクリーンな表面のために、現像プロセスの後に、フォトレジスト層407のプロファイルも、より良好に制御されてよい。実質的に垂直な側壁並びに/又は約85度と約95度との間に制御される第1及び第2の角度α、βのような所望のプロファイルを、フォトレジスト層407に提供するために、フォトレジストフッティング、側壁オーバーハング、又は頂部テーパのような幾つかの欠陥が、除去されてよい。
[0066] したがって、フォトレジスト層407のプロファイルを正確に制御することによって、ターゲット層402(例えば、材料層)に転写された特徴のコーナーにおける同様な角度制御も取得され得る。したがって、ターゲット層402に転写される特徴又は開口部はまた、約85度と約95度と間の範囲で制御される所望のコーナー角度を有する良好なプロファイルを有してよい。したがって、フォトレジスト層407の良好に制御された所望のプロファイルにより、リソグラフィプロセスの高解像度が、リソグラフィプロセス中に、プロセスウインドウ、特に焦点深度(DOF)を強化及び拡大することによって得られてよい。例えば、焦点深度(DOF)のためのプロセスウインドウは、20%から約95%に増加されてよい。
[0067] 更に、露光後ベーキングプロセス中に、基板400及びフォトレジスト層407に供給される熱エネルギーは、フォトレジスト層407内の光酸の移動を制限することも支援し得るやり方で制御されてよい。熱エネルギーは、基板支持アセンブリ238内に配置された埋め込みヒータ232を制御することによって供給されてもよい。一実施例では、基板400の温度が、摂氏約10度(室温など)と摂氏約130度(摂氏約120度など)との間で制御されてよい。露光後ベーキングプロセスの間に供給される熱エネルギーは、光酸移動の制御効率が高められ得るように、電界及び/又は磁界によって駆動される電子の運動量若しくは運動エネルギーを高めてよいと考えられている。
[0068] 幾つかの実施形態では、熱エネルギーが基板400に供給されるのは、電界及び/又は磁界が供給される期間の前、同時、又は後であってもよい。一実施例では、熱エネルギーが、電界及び/又は磁界を基板400に印加する前に、基板400に供給される(例えば、基板400が配置される基板支持アセンブリ238内のヒータ232をオンにすること)。電界及び/又は磁界の前に供給される熱エネルギーは、電子を活性状態に活性化するのを支援してよく、その結果、電子は、比較的容易に閉じ込められるか、又は所定の移動経路で加速されてよく、したがって、光子吸収効率、ドーズ感度、又はドリフト指向性制御などのような、露光後ベーキングプロセス中のフォトレジスト層の電気的性能を高めると考えられている。電子は、電界/磁界、ならびに熱エネルギーによって、活性化及び/又は駆動されるので、露光後ベーキングプロセスを実行するための総時間などの総プロセス時間は、露光後ベーキングプロセスの間に熱エネルギーを印加するだけのプロセス時間よりも約20%少ないなど、約5%と約40%との間の範囲だけ低減されてよい。
[0069] 露光後ベーキングプロセスの後に、異方性エッチングプロセス、又は他の適切なパターニング/エッチングプロセスが、必要に応じてターゲット層402内に特徴を転写するために実行されてもよい。
[0070] 図5は、露光後ベーキングプロセス中のフォトレジスト層内の光酸分布/拡散/方向性制御を支援するために電界及び磁界を利用する方法500のフロー図を示している。方法500は、図2~図3で描かれている処理チャンバ200などの処理チャンバの中に、内部に電極アセンブリ及び磁気アセンブリが配置された状態で、上述された基板400などの基板を配置することによって、動作502で開始する。
[0071] 動作504では、基板400が配置された後で、電界及び/又は磁界が(リソグラフィ露光プロセス及び/又は露光後ベーキングプロセス中に)処理チャンバに個別又は集合的に印加されてよく、その下に配置された下層を有するフォトレジスト層内の光酸移動を制御する。動作506で更に説明されるように、電界及び/又は磁界は、基板400をベーキングと同時に、ベーキングする前に、又はベーキングした後に、印加されてもよいことに留意されたい。言い換えれば、動作504において電界及び/又は磁界が基板に個別又は集合的に印加されることは、必要に応じて、動作506におけるベーキングプロセスの前又は後に実行されてよい。
[0072] 電界及び/又は磁界が、基板上に配置されたフォトレジスト層及び下層に個別又は集合的に印加された後で、生成された光酸は、主として、垂直方向、長手方向、円形方向、又は必要に応じて任意の所望の方向に移動してよい。露光後ベーキングプロセス中に電界及び/又は磁界によって提供される支援の結果、フォトレジスト層内の光酸移動が、効率的に制御されてよい。
[0073] 動作506では、熱エネルギーが、フォトレジスト層をベーキング(例えば、硬化)するために提供される。露光後ベーキングプロセス中に、エネルギー(例えば、電気エネルギー、熱エネルギー、又は他の適切なエネルギー)もまた、フォトレジスト層に提供されてよい。本明細書で描かれる一実施例では、エネルギーが、露光後ベーキングプロセス中に基板に供給される熱エネルギー、ならびに動作504において印加される電界及び/又は磁界である。動作506において電界及び/又は磁界を印加しながら動的周波数制御モードを利用することによって、高分解能、線量感度、線崩壊に対する抵抗、焦点深度(DOF)のための強化されたプロセスウインドウ、及び最小ラインエッジ粗さを有する、所望のエッジプロファイルが得られてよい。フォトレジスト層中の光酸、クエンチャー、イオン、電子、及び他の電荷種は、所望の方向に移動するように効率的に誘導されてよい。したがって、露光後ベーキングプロセス中に電界及び/又は磁界を印加することの利点は、焦点深度(DOF)のための強化されたプロセスウインドウ、所望の最小ラインエッジ粗さ、線幅粗さ、局所的限界寸法均一性、限界寸法生存性、及びナノ欠陥(レジストスカミング、ラインマージ、ライン破断など)の低減を含む。その結果、デバイス歩留まりが向上する。
[0074] 一実施例では、電界及び/又は磁界を制御するために供給される電力が、連続モード、パルスモード、又は必要に応じて混合された連続モード若しくはパルスモードの組み合わせであってよい。
[0075] 前述の実施形態は、以下のことを含む多くの利点を有する。例えば、本明細書で開示される実施形態は、高解像度及び鋭いエッジプロファイルを有するラインエッジ/線幅粗さを低減させ又は除去し、露光後ベーキングプロセス中に電界及び/又は磁界を印加するときに、動的周波数制御モードによってリソグラフィプロセス中に焦点深度(DOF)のためのプロセスウインドウを強化してよい。前述の利点は、例示的なものであって、限定的なものではない。全ての実施形態が全ての利点を有する必要はない。
[0076] 以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。
Claims (20)
- 基板を処理する方法であって、
基板上に配置された材料層上に、光酸発生剤を含むフォトレジスト層を付加すること、
フォトマスクによって保護されていない前記フォトレジスト層の第1の部分を、リソグラフィ露光プロセスにおいて光放射に露光すること、
露光後ベーキングプロセスにおいて、熱エネルギーを前記フォトレジスト層に提供すること、
前記露光後ベーキングプロセスを実行している間に、電界又は磁界のうちの少なくとも一方を印加すること、及び
前記熱エネルギーを前記フォトレジスト層に提供しながら、前記電界又は前記磁界のうちの少なくとも一方の特性を動的に変化させることを含む、方法。 - 前記電界又は前記磁界を印加することは、
前記露光後ベーキングプロセスを実行している間に、フォトレジストラインエッジ粗さ及びレジストスカミングを制御することを更に含む、請求項1に記載の方法。 - 前記電界又は前記磁界を印加することは、
前記電界又は前記磁界の前記印加を、1秒当たり少なくとも2回だけ繰り返すことを更に含む、請求項1に記載の方法。 - 前記電界又は前記磁界のうちの少なくとも一方の特性を動的に変化させることは、
電力を、ステップ状に、線形的に、又は電力曲線で印加することを更に含む、請求項1に記載の方法。 - 前記電界を生成する前記電力が、第1の電界密度とより低い第2の電界密度との間で変調される、請求項4に記載の方法。
- 前記電界を生成する前記電力は、第1の電界及び第2の電界を提供するために、第1の電圧とより低い第2の電圧との間で電圧を変調させることによって変調され、前記第1の電界及び前記第2の電界を生成する前記第1の電圧と前記第2の電圧とは、両方とも正である、請求項4に記載の方法。
- 前記電界を生成する前記電力は、第1の電界及び第2の電界を提供するために、第1の電圧とより低い第2の電圧との間で電圧を変調させることによって変調され、前記第1の電界及び前記第2の電界を生成する前記第1の電圧と前記第2の電圧とのうちの一方は、ゼロである、請求項4に記載の方法。
- 前記電界を生成する前記電力は、第1の電界及び第2の電界を提供するために、第1の電圧とより低い第2の電圧との間で電圧を変調させることによって変調され、前記第1の電界及び前記第2の電界を生成する前記第1の電圧と前記第2の電圧とは、反対の極性を有する、請求項4に記載の方法。
- 前記電界を生成する前記電力は、第1の電界及び第2の電界を提供するために変調され、前記第1の電界の振幅、形状、又は電力密度のうちの少なくとも1つが、前記第2の電界の振幅、形状、又は電力密度のうちの少なくとも1つより大きいか又は等しい、請求項4に記載の方法。
- 前記露光後ベーキングプロセス中に、電界強度が、約100MV/mと約2000MV/mとの間に制御される、請求項1に記載の方法。
- 前記電界又は前記磁界を印加することは、
約5テスラ(T)と約500テスラ(T)との間の範囲で前記磁界を制御することを更に含む、請求項1に記載の方法。 - 前記フォトレジスト層内に開口部を形成するために、前記フォトレジスト層の前記第1の部分を除去すること、及び
前記フォトレジスト層内の前記開口部を通して前記材料層をエッチングするために、エッチングプロセスを実行することを更に含む、請求項1に記載の方法。 - 前記材料層内に特徴を形成することを更に含み、前記特徴は、約85度と95度との間のコーナー角度を有する、請求項12に記載方法。
- 基板を処理する方法であって、
基板上にフォトレジスト層を付加すること、
フォトマスクによって保護されていない前記フォトレジスト層の第1の部分を、リソグラフィ露光プロセスにおいて光放射に露光すること、
前記フォトレジスト層に露光後ベーキングプロセス実行すること、及び
熱エネルギーを前記フォトレジスト層に提供しながら、前記フォトレジスト層内で光酸発生剤のドリフト方向を垂直方向に変化させることを含む、方法。 - 前記光酸発生剤の前記ドリフト方向を変化させることは、
第1の電界及び第2の電界を提供するために、電界を変調させることを更に含み、前記熱エネルギーを前記フォトレジスト層に提供している間に、前記第1の電界の振幅、形状、又は電力密度のうちの少なくとも1つが、前記第2の電界の振幅、形状、又は電力密度のうちの少なくとも1つより大きいか又は等しい、請求項14に記載の方法。 - 前記電界を変調させることは、
前記電界の印加を、1秒当たり少なくとも2回だけ繰り返すことを更に含む、請求項15に記載の方法。 - 前記電界を変調させることは、
電力を、ステップ状に、線形的に、又は電力曲線で印加することを更に含む、請求項15に記載の方法。 - 前記光酸発生剤の前記ドリフト方向を変化させることは、
電界を変調させることを更に含む、請求項15に記載の方法。 - 前記電界を変調させることは、
第1の電圧と第2の電圧とを、前記露光後ベーキングプロセスの異なる期間中に印加することを更に含み、(a)前記第1の電圧がゼロであるか又は正の極性を有する、(b)前記第2の電圧がゼロであるか又は負の極性を有する、うちの少なくとも一方である、請求項18に記載の方法。 - 基板上に配置された材料層、及び
前記材料層内に形成された複数の開口部を含み、前記基板にわたり形成された前記開口部が、約85度と約95度との間のコーナー角度を有し、前記開口部が、約3nmと約5nmとの間の線幅粗さを有する、デバイス構造。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/825,393 | 2020-03-20 | ||
US16/825,393 US11429026B2 (en) | 2020-03-20 | 2020-03-20 | Lithography process window enhancement for photoresist patterning |
PCT/US2021/016301 WO2021188218A1 (en) | 2020-03-20 | 2021-02-03 | Lithography process window enhancement for photoresist patterning |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2023518754A true JP2023518754A (ja) | 2023-05-08 |
Family
ID=77748646
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022556160A Pending JP2023518754A (ja) | 2020-03-20 | 2021-02-03 | フォトレジストパターニングのためのリソグラフィプロセスウインドウ強化 |
Country Status (7)
Country | Link |
---|---|
US (2) | US11429026B2 (ja) |
EP (1) | EP4121820A4 (ja) |
JP (1) | JP2023518754A (ja) |
KR (1) | KR20220150390A (ja) |
CN (1) | CN115244469A (ja) |
TW (1) | TWI816094B (ja) |
WO (1) | WO2021188218A1 (ja) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20210041785A1 (en) * | 2019-08-09 | 2021-02-11 | Applied Materials, Inc. | Process control of electric field guided photoresist baking process |
US11429026B2 (en) * | 2020-03-20 | 2022-08-30 | Applied Materials, Inc. | Lithography process window enhancement for photoresist patterning |
US20220390847A1 (en) * | 2021-06-08 | 2022-12-08 | Applied Materials, Inc. | Metal oxide resist patterning with electrical field guided post-exposure bake |
CN115981101B (zh) * | 2023-03-17 | 2023-06-16 | 湖北江城芯片中试服务有限公司 | 半导体结构的制造方法及半导体结构 |
Family Cites Families (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3097402A (en) | 1963-07-16 | Shoe for window hinge | ||
US5215619A (en) | 1986-12-19 | 1993-06-01 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US4842683A (en) | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US5258266A (en) | 1989-11-16 | 1993-11-02 | Mitsubishi Denki Kabushiki Kaisha | Method of forming minute patterns using positive chemically amplifying type resist |
JP2924066B2 (ja) | 1990-03-31 | 1999-07-26 | 凸版印刷株式会社 | 画像形成方法 |
US6488807B1 (en) | 1991-06-27 | 2002-12-03 | Applied Materials, Inc. | Magnetic confinement in a plasma reactor having an RF bias electrode |
KR930021034A (ko) | 1992-03-31 | 1993-10-20 | 다니이 아끼오 | 플라즈마발생방법 및 그 발생장치 |
JP3589365B2 (ja) | 1996-02-02 | 2004-11-17 | 富士写真フイルム株式会社 | ポジ画像形成組成物 |
US6113731A (en) | 1997-01-02 | 2000-09-05 | Applied Materials, Inc. | Magnetically-enhanced plasma chamber with non-uniform magnetic field |
KR100239440B1 (ko) | 1997-08-20 | 2000-01-15 | 김영환 | 화학 증폭형 포토레지스트의 패터닝 방법 |
US6143124A (en) | 1997-08-22 | 2000-11-07 | Micron Technology, Inc. | Apparatus and method for generating a plasma from an electromagnetic field having a lissajous pattern |
KR100557579B1 (ko) | 1997-11-05 | 2006-05-03 | 에스케이 주식회사 | 박막제조장치 |
US6187152B1 (en) | 1998-07-17 | 2001-02-13 | Cutek Research, Inc. | Multiple station processing chamber and method for depositing and/or removing material on a substrate |
EP1198610A4 (en) | 1999-05-14 | 2004-04-07 | Univ California | PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES |
JP2001290275A (ja) | 2000-02-03 | 2001-10-19 | Fuji Photo Film Co Ltd | ポジ型フォトレジスト組成物 |
KR100811964B1 (ko) | 2000-09-28 | 2008-03-10 | 동경 엘렉트론 주식회사 | 레지스트 패턴 형성장치 및 그 방법 |
US6797639B2 (en) | 2000-11-01 | 2004-09-28 | Applied Materials Inc. | Dielectric etch chamber with expanded process window |
JP3696156B2 (ja) | 2000-12-26 | 2005-09-14 | 株式会社東芝 | 塗布膜の加熱装置、レジスト膜の処理方法 |
US20020096114A1 (en) | 2001-01-22 | 2002-07-25 | Applied Materials, Inc. | Series chamber for substrate processing |
US6686132B2 (en) | 2001-04-20 | 2004-02-03 | The Regents Of The University Of California | Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake |
US7160521B2 (en) | 2001-07-11 | 2007-01-09 | Applied Materials, Inc. | Treatment of effluent from a substrate processing chamber |
US6889627B1 (en) | 2001-08-08 | 2005-05-10 | Lam Research Corporation | Symmetrical semiconductor reactor |
US6841342B2 (en) | 2001-08-08 | 2005-01-11 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
JP4251830B2 (ja) | 2001-08-08 | 2009-04-08 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
WO2003021642A2 (en) | 2001-08-31 | 2003-03-13 | Applied Materials, Inc. | Method and apparatus for processing a wafer |
US6987240B2 (en) | 2002-04-18 | 2006-01-17 | Applied Materials, Inc. | Thermal flux processing by scanning |
US7223323B2 (en) | 2002-07-24 | 2007-05-29 | Applied Materials, Inc. | Multi-chemistry plating system |
US7187796B1 (en) | 2003-10-01 | 2007-03-06 | Advanced Micro Devices, Inc. | Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication |
KR100515369B1 (ko) | 2003-10-02 | 2005-09-14 | 동부아남반도체 주식회사 | 전기장에 의하여 반도체 소자의 미세패턴을 형성하는노광장치 및 그 방법 |
US7374867B2 (en) | 2003-10-06 | 2008-05-20 | Intel Corporation | Enhancing photoresist performance using electric fields |
US7274429B2 (en) | 2003-12-10 | 2007-09-25 | Asml Netherlands B.V. | Integrated lithographic fabrication cluster |
JP2005203597A (ja) | 2004-01-16 | 2005-07-28 | Nippon Telegr & Teleph Corp <Ntt> | レジスト現像方法および装置 |
JP4282500B2 (ja) | 2004-01-29 | 2009-06-24 | 株式会社東芝 | 構造検査方法及び半導体装置の製造方法 |
US20050250052A1 (en) | 2004-05-10 | 2005-11-10 | Nguyen Khe C | Maskless lithography using UV absorbing nano particle |
JP4209819B2 (ja) | 2004-07-15 | 2009-01-14 | 東京エレクトロン株式会社 | 基板加熱装置及び基板加熱方法 |
US7699021B2 (en) | 2004-12-22 | 2010-04-20 | Sokudo Co., Ltd. | Cluster tool substrate throughput optimization |
US7819079B2 (en) | 2004-12-22 | 2010-10-26 | Applied Materials, Inc. | Cartesian cluster tool configuration for lithography type processes |
JP4410121B2 (ja) | 2005-02-08 | 2010-02-03 | 東京エレクトロン株式会社 | 塗布、現像装置及び塗布、現像方法 |
JP4685584B2 (ja) | 2005-03-11 | 2011-05-18 | 東京エレクトロン株式会社 | 塗布、現像装置 |
US7780813B2 (en) | 2005-06-09 | 2010-08-24 | Alcatel-Lucent Usa Inc. | Electric field mediated chemical reactors |
US7972761B2 (en) | 2006-08-04 | 2011-07-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist materials and photolithography process |
US7718225B2 (en) | 2005-08-17 | 2010-05-18 | Applied Materials, Inc. | Method to control semiconductor film deposition characteristics |
JP4549959B2 (ja) | 2005-09-14 | 2010-09-22 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JP4771816B2 (ja) | 2006-01-27 | 2011-09-14 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US20080050679A1 (en) | 2006-02-22 | 2008-02-28 | Sokudo Co., Ltd. | Methods and systems for performing immersion processing during lithography |
US7838205B2 (en) | 2006-07-07 | 2010-11-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Utilization of electric field with isotropic development in photolithography |
JP4428717B2 (ja) | 2006-11-14 | 2010-03-10 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理システム |
US7943007B2 (en) | 2007-01-26 | 2011-05-17 | Lam Research Corporation | Configurable bevel etcher |
US8501395B2 (en) | 2007-06-04 | 2013-08-06 | Applied Materials, Inc. | Line edge roughness reduction and double patterning |
US8636458B2 (en) | 2007-06-06 | 2014-01-28 | Asml Netherlands B.V. | Integrated post-exposure bake track |
US8133659B2 (en) | 2008-01-29 | 2012-03-13 | Brewer Science Inc. | On-track process for patterning hardmask by multiple dark field exposures |
US8084186B2 (en) | 2009-02-10 | 2011-12-27 | Az Electronic Materials Usa Corp. | Hardmask process for forming a reverse tone image using polysilazane |
US8097402B2 (en) | 2009-03-31 | 2012-01-17 | Tokyo Electron Limited | Using electric-field directed post-exposure bake for double-patterning (D-P) |
JP5449239B2 (ja) | 2010-05-12 | 2014-03-19 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及びプログラムを記録した記憶媒体 |
JP5174098B2 (ja) | 2010-08-09 | 2013-04-03 | 東京エレクトロン株式会社 | 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置 |
JP2013542613A (ja) | 2010-10-27 | 2013-11-21 | アプライド マテリアルズ インコーポレイテッド | フォトレジスト線幅の荒れを制御するための方法及び装置 |
US9156785B2 (en) | 2010-11-15 | 2015-10-13 | Rohm And Haas Electronic Materials Llc | Base reactive photoacid generators and photoresists comprising same |
US8288174B1 (en) | 2011-03-24 | 2012-10-16 | Tokyo Electron Limited | Electrostatic post exposure bake apparatus and method |
US20120285621A1 (en) | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
US8709706B2 (en) | 2011-06-15 | 2014-04-29 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
EP2534983A1 (en) | 2011-06-17 | 2012-12-19 | Philip Morris Products S.A. | Merchandising unit with moveable housing |
US20120325784A1 (en) | 2011-06-24 | 2012-12-27 | Applied Materials, Inc. | Novel thermal processing apparatus |
US9159581B2 (en) * | 2012-11-27 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a semiconductor device using a bottom antireflective coating (BARC) layer |
US9104113B2 (en) | 2013-01-07 | 2015-08-11 | International Business Machines Corporation | Amplification method for photoresist exposure in semiconductor chip manufacturing |
US9411237B2 (en) | 2013-03-14 | 2016-08-09 | Applied Materials, Inc. | Resist hardening and development processes for semiconductor device manufacturing |
WO2015071658A1 (en) | 2013-11-12 | 2015-05-21 | Perpetuus Research & Development Limited | Treating particles |
KR20160118205A (ko) | 2014-02-06 | 2016-10-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 유동 전도성 및 균일성을 위해 축방향으로 대칭가능한 인라인 dps 챔버 하드웨어 설계 |
WO2015171335A1 (en) | 2014-05-06 | 2015-11-12 | Applied Materials, Inc. | Directional treatment for multi-dimensional device processing |
US9377692B2 (en) | 2014-06-10 | 2016-06-28 | Applied Materials, Inc. | Electric/magnetic field guided acid diffusion |
WO2016003575A2 (en) | 2014-07-02 | 2016-01-07 | Applied Materials, Inc. | Localized stress modulation for overlay and epe |
US9280070B2 (en) | 2014-07-10 | 2016-03-08 | Applied Materials, Inc. | Field guided exposure and post-exposure bake process |
US9798240B2 (en) | 2014-07-10 | 2017-10-24 | Applied Materials, Inc. | Controlling photo acid diffusion in lithography processes |
US9366966B2 (en) | 2014-07-10 | 2016-06-14 | Applied Materials, Inc. | Electric/magnetic field guided acid profile control in a photoresist layer |
US9733579B2 (en) * | 2014-10-15 | 2017-08-15 | Applied Materials, Inc. | Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer |
CN107533288B (zh) | 2015-05-28 | 2021-10-19 | 英特尔公司 | 用于解耦合光致抗蚀剂的扩散和溶解性切换机制的手段 |
US9829790B2 (en) | 2015-06-08 | 2017-11-28 | Applied Materials, Inc. | Immersion field guided exposure and post-exposure bake process |
US10203604B2 (en) * | 2015-11-30 | 2019-02-12 | Applied Materials, Inc. | Method and apparatus for post exposure processing of photoresist wafers |
US9958782B2 (en) | 2016-06-29 | 2018-05-01 | Applied Materials, Inc. | Apparatus for post exposure bake |
JP6781031B2 (ja) | 2016-12-08 | 2020-11-04 | 東京エレクトロン株式会社 | 基板処理方法及び熱処理装置 |
US9964863B1 (en) | 2016-12-20 | 2018-05-08 | Applied Materials, Inc. | Post exposure processing apparatus |
US10957590B2 (en) | 2018-11-16 | 2021-03-23 | Applied Materials, Inc. | Method for forming a layer |
WO2020149903A1 (en) * | 2019-01-18 | 2020-07-23 | Applied Materials, Inc. | A film structure for electric field guided photoresist patterning process |
US11429026B2 (en) * | 2020-03-20 | 2022-08-30 | Applied Materials, Inc. | Lithography process window enhancement for photoresist patterning |
-
2020
- 2020-03-20 US US16/825,393 patent/US11429026B2/en active Active
-
2021
- 2021-02-03 EP EP21772353.5A patent/EP4121820A4/en active Pending
- 2021-02-03 WO PCT/US2021/016301 patent/WO2021188218A1/en active Application Filing
- 2021-02-03 CN CN202180019576.0A patent/CN115244469A/zh active Pending
- 2021-02-03 JP JP2022556160A patent/JP2023518754A/ja active Pending
- 2021-02-03 KR KR1020227034921A patent/KR20220150390A/ko not_active Application Discontinuation
- 2021-03-10 TW TW110108483A patent/TWI816094B/zh active
-
2022
- 2022-08-29 US US17/898,216 patent/US11914299B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
KR20220150390A (ko) | 2022-11-10 |
TWI816094B (zh) | 2023-09-21 |
TW202201146A (zh) | 2022-01-01 |
US20210294216A1 (en) | 2021-09-23 |
US20220413387A1 (en) | 2022-12-29 |
US11914299B2 (en) | 2024-02-27 |
WO2021188218A1 (en) | 2021-09-23 |
US11429026B2 (en) | 2022-08-30 |
EP4121820A1 (en) | 2023-01-25 |
TW202349139A (zh) | 2023-12-16 |
CN115244469A (zh) | 2022-10-25 |
EP4121820A4 (en) | 2024-04-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11880137B2 (en) | Film structure for electric field guided photoresist patterning process | |
US11914299B2 (en) | Lithography process window enhancement for photoresist patterning | |
JP6582081B2 (ja) | 電場/磁場案内された酸拡散 | |
US10108093B2 (en) | Controlling photo acid diffusion in lithography processes | |
JP2021040139A (ja) | フィールドガイドによる埋設式露光、及び露光後ベークプロセス | |
US9366966B2 (en) | Electric/magnetic field guided acid profile control in a photoresist layer | |
US9280070B2 (en) | Field guided exposure and post-exposure bake process | |
US20210294215A1 (en) | Photoresist patterning process | |
US20170184976A1 (en) | Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake | |
US20210041785A1 (en) | Process control of electric field guided photoresist baking process | |
TWI844448B (zh) | 用於光阻圖案化的微影處理窗增強 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20221114 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20231018 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20231107 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20240604 |