CN115244469A - 用于光刻胶图案化的光刻处理窗增强 - Google Patents

用于光刻胶图案化的光刻处理窗增强 Download PDF

Info

Publication number
CN115244469A
CN115244469A CN202180019576.0A CN202180019576A CN115244469A CN 115244469 A CN115244469 A CN 115244469A CN 202180019576 A CN202180019576 A CN 202180019576A CN 115244469 A CN115244469 A CN 115244469A
Authority
CN
China
Prior art keywords
electric field
photoresist layer
voltage
substrate
post
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180019576.0A
Other languages
English (en)
Inventor
戴辉雄
芒格什·阿肖克·邦阿
斯里尼瓦斯·D·内曼尼
克里斯托弗·S·恩盖
怡利·Y·叶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115244469A publication Critical patent/CN115244469A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)

Abstract

一种用于在光刻处理期间增强焦深处理窗的方法包括在设置在基板上的材料层上施加包括光酸产生剂的光刻胶层,在光刻曝光处理中,使未受光掩模保护的光刻胶层的第一部分暴露于光辐射,在曝光后烘烤处理中向光刻胶层提供热能,在实行曝光后烘烤处理的同时,施加电场或磁场,和在向光刻胶层提供热能的同时,动态地改变所产生的电场的频率。

Description

用于光刻胶图案化的光刻处理窗增强
技术领域
本揭示内容总体上涉及用于处理基板的方法和设备,并且更特定地涉及用于增强光刻胶轮廓控制的方法和设备。
背景技术
集成电路已发展成可在单芯片上包含数百万个元件(例如,晶体管、电容器、和电阻)的复杂装置。光刻可用于在芯片上形成元件。通常,光刻的处理涉及几个基本阶段。最初,在基板上形成光刻胶层。可以通过例如旋涂来形成光刻胶层。光刻胶层可包括抗蚀剂树脂和光酸产生剂。在随后的曝光阶段中暴露于电磁辐射后,光酸产生剂会改变光刻胶在显影处理中的溶解性。可在曝光前烘烤(pre-exposure bake)处理中去除多余的溶剂。
在曝光阶段,可使用光掩模或掩模版来选择性地将设置在基板上的光刻胶层的某些区域暴露于电磁辐射。其他曝光方法可以是无掩模曝光方法。电磁辐射可具有任何合适的波长,例如在极紫外区域中的波长。电磁辐射可以从任何合适的源,例如193nm ArF激光、电子束、离子束、或其他源形成。暴露于电磁辐射可使光酸产生剂分解,从而产生酸并在抗蚀剂树脂中产生潜酸图像。曝光后,可以在曝光后烘烤处理中加热基板。在曝光后烘烤处理中,由光酸产生剂产生的酸与光刻胶层中的抗蚀剂树脂反应,从而改变在随后的显影处理期间光刻胶层的抗蚀剂的溶解性。
在曝光后烘烤之后,可以显影(develope)并冲洗(rinse)基板,尤其是光刻胶层。显影和冲洗后,接着在基板上形成图案化的光刻胶层,如图1所示。图1描绘了基板100的示例性正交截面图,基板100具有设置在将要蚀刻的目标材料(target material)102上的图案化的光刻胶层104。在显影和冲洗处理之后,开口106界定在图案化的光刻胶层104之间,从而暴露出下面的目标材料102以进行蚀刻以将特征转移到目标材料102上。然而,光刻曝光处理的不精确控制或低分辨率可能无法保持光刻胶层104的关键尺寸,从而导致不可接受的线宽粗糙度108。此外,在曝光处理期间,从光酸产生剂产生的酸(如图1所示)可能会随机扩散到任何区域,包括在掩模下方的受保护的不希望被扩散到的区域,从而在与开口106相接的图案化的光刻胶层104的边缘或界面处形成不想要的摆动或粗糙轮廓150。光刻胶层104的大的线宽粗糙度108和不想要的摆动轮廓150可能导致到目标材料102的不精确的特征转移,因此,最终导致装置故障和产率损失。
因此,需要一种控制线宽粗糙度并提高分辨率以及剂量敏感性的方法和设备,以便获得具有所需关键尺寸的图案化光刻胶层。
发明内容
本揭示内容的实施例包括用于在曝光后烘烤处理期间有效控制来自光刻胶层中的光酸产生剂的酸的分布和扩散的方法,以改善光刻处理窗,例如焦深(depth of focus)范围。所述方法可在光刻处理期间增强和扩大焦深处理窗。
在一个实施例中,一种处理基板的方法,该方法包括:在设置在基板上的材料层上施加包括光酸产生剂的光刻胶层,在光刻曝光处理中,使未受光掩模保护的光刻胶层的第一部分暴露于光辐射,在曝光后烘烤处理中向光刻胶层提供热能,在实行曝光后烘烤处理的同时,施加电场或磁场,和在向光刻胶层提供热能的同时,动态地改变所产生的电场的频率。
在另一实施例中,一种处理基板的方法包括:在基板上施加光刻胶层,在光刻曝光处理中,将未受光掩模保护的光刻胶层的第一部分暴露于光辐射,对光刻胶层实行曝光后烘烤处理,和在向光刻胶层提供热能时,垂直地改变光刻胶层中光酸产生剂的漂移方向。
在又另一实施例中,一种装置结构包括:设置在基板上的材料层,和在材料层中形成的多个开口,其中跨基板形成的开口具有在约85度至约95度之间的隅角。
附图说明
为了可详细了解本揭示内容的上述特征的方式,可通过参照实施例获得以上简要概述的本揭示内容的更特定的描述,其中一些实施例描绘在附图中。然而,应当注意,附图仅示出本揭示内容的典型实施例,且因此不应将其视为限制其范围,因为本揭示内容可允许其他等效的实施例。
图1描绘了本领域常规地设置在基板上的图案化光刻胶层的示例性结构的正交截面图;
图2是根据一个实施例的用于处理基板的设备的示意性截面图;
图3是设置在图2的设备中的电极组件的一个实施例的俯视截面图;
图4描绘了在曝光后烘烤处理期间设置在膜结构上的光刻胶层的酸分布控制;
图5是在曝光处理和曝光后烘烤处理期间控制光刻胶层的酸分布的一种方法的流程图;和
图6描绘了在曝光后烘烤处理之后在基板上的光刻胶层的示意性截面图。
为了便于理解,已尽可能使用相同的附图标记来表示图中共同的相同元件。另外,一个实施例的元件可以有利地适用于本文描述的其他实施例中。
具体实施方式
提供了用于增强通过光刻形成的光刻胶层的轮廓控制的方法。可通过调节在曝光后烘烤处理期间施加的电场来控制在曝光后烘烤处理期间影响线边缘/宽粗糙度的由光酸产生剂产生的酸的扩散。电场的施加控制由光酸产生剂产生的酸在光刻胶层中的扩散和分布,从而扩大了可提高光刻分辨率的处理窗。例如,通过在曝光后烘烤处理期间适当控制和辅助由光酸产生剂产生的酸的扩散,可以有效地改善和提高光刻处理期间焦深的范围。在曝光后烘烤处理期间控制的合适的处理参数包括:电压水平和频率,以用于控制在烘烤处理期间产生的电场;用于产生电场的电压功率的连续或脉冲模式;基板的温度控制;以及在曝光后烘烤处理期间施加的电场的持续时间。在一个示例中,可利用曝光后烘烤处理期间的动态频率控制。
图2是根据一个实施例的用于处理基板的设备的示意性截面图。如图2的实施例所示,该设备可以是真空处理腔室200的形式。在其他实施例中,处理腔室200可以不耦接至真空源。
处理腔室200可以是独立的独立处理腔室。替代地,处理腔室200可以是处理系统的一部分,所述处理系统例如,在线处理系统(in-line processing system)、群集处理系统、或轨道处理系统。处理腔室200在下文详细描述,并且可用于曝光前烘烤、曝光后烘烤、和/或其他处理步骤。
处理腔室200包括腔室壁202、电极组件216、和基板支撑组件238。腔室壁202包括侧壁206、盖组件210、和底部208。腔室壁202部分地包围处理空间212。穿过被配置为促进基板240移入和移出处理腔室200的基板传送端口(未示出)进入处理空间212。在处理腔室200是处理系统的一部分的实施例中,基板传送端口可以允许基板240被传送到邻接的移送腔室和从移送腔室传送。
泵送口214可以选择性地穿过处理腔室200的盖组件210、侧壁206或底部208中之一而设置,以将处理空间212耦接至排气口。排气口将泵送口214耦接至各种真空泵送部件,例如真空泵。泵送部件可降低处理空间212的压力,并将任何气体和/或处理副产物排出处理腔室200。处理腔室200可耦接到一或多个供应源204,用于将一或多种源化合物输送到处理空间212中。
基板支撑组件238位于处理腔室200内的中央。基板支撑组件238在处理期间支撑基板240。基板支撑组件238可包括封装至少一个嵌入式加热器232的主体224。在一些实施例中,基板支撑组件238可以是静电夹盘。诸如电阻元件的加热器232设置在基板支撑组件238中。加热器232受控制地将基板支撑组件238和位于其上的基板240加热到预定温度。加热器232被配置为快速升高基板240的温度并精确地控制基板240的温度。在一些实施例中,加热器232连接到电源274并由电源274控制。电源274可以替代地或额外地将功率施加到基板支撑组件238。电源274可以类似于电源270配置,如下文讨论。此外,应注意,加热器232可根据需要设置在处理腔室200的其他位置中,例如在腔室壁中、腔室衬垫中、包围基板的边缘环、腔室顶板等中,以将热能量提供给设置在基板支撑组件238上的基板240。
在一些实施例中,基板支撑组件238可以被配置为旋转。在一些实施例中,基板支撑组件238被配置为绕z轴旋转。基板支撑组件238可被配置为连续或恒定地旋转,或者基板支撑组件238可以被配置为以逐步(step-wise)或分度(indexing)的方式旋转。例如,基板支撑组件238可以旋转预定量,例如90°、180°、或270°,然后旋转可以停止预定量的时间。
通常,基板支撑组件238具有第一表面234和第二表面226。第一表面234与第二表面226相对。第一表面234被配置为支撑基板240。第二表面226具有耦接至其的杆242。基板240可以是任何类型的基板,例如介电基板、玻璃基板、半导体基板、或导电基板。基板240可具有设置在其上的材料层245。材料层245可以是任何期望的层。在一些实施例中,基板240可具有多于一个材料层245。基板240亦具有设置在材料层245之上的光刻胶层250。在光刻处理的曝光阶段中,基板240已在之前暴露于电磁辐射。光刻胶层250具有由曝光阶段形成在其中的潜像线255。潜像线255可以基本上平行。在其他实施例中,潜像线255可以不是基本上平行。同样如图所示,基板支撑组件238的第一表面234与电极组件216在z方向上分开距离d。杆242耦接至升降系统(未示出),以用于使基板支撑组件238在升高的处理位置(如图所示)和降低的基板传送位置之间移动。升降系统可以在z方向上精确且精准地控制基板240的位置。在一些实施例中,升降系统亦可被配置为在x方向、y方向、或x方向及y方向上移动基板240。杆242另外提供了用于在基板支撑组件238和处理腔室200的其他部件之间的电和热电偶引线的导管。波纹管246耦接至基板支撑组件238,以在处理空间212与处理腔室200外部的大气之间提供真空密封,并促进基板支撑组件238在z方向上的移动。
盖组件210可以选择性地包括入口280,供应源204提供的气体可穿过入口280进入处理腔室200。供应源204可以选择性地用诸如氮气、氩气、氦气、其他气体或上述气体的组合的气体来可控制地对处理空间212加压。来自供应源204的气体可以在处理腔室200内建立受控制的环境。致动器290可以选择性地耦接在盖组件210和电极组件216之间。致动器290被配置为在x、y、和z方向中的一个或多个上移动电极组件216。x方向和y方向在本文中称为横向方向或维度(dimensions)。致动器290使电极组件216能够扫描基板240的表面。致动器290亦使得距离d能被调节。在一些实施例中,电极组件216通过固定杆(未示出)耦接至盖组件210。在其他实施例中,电极组件216可以耦接到处理腔室200的底部208的内侧,耦接到基板支撑组件238的第二表面226、或耦接到杆242。在其他实施例中,电极组件216可以嵌入在基板支撑组件238的第一表面234和第二表面226之间。
电极组件216至少包括第一电极258和第二电极260。如图所示,第一电极258耦接到电源270,第二电极260耦接到选择性的电源275。在其他实施例中,第一电极258和第二电极260中的一个可以耦接到电源,而另一个电极可以耦接到地。在一些实施例中,第一电极258和第二电极260耦接到地,并且将功率传输到基板支撑件的电源274是在正偏压和负偏压之间切换的双极电源。在一些实施例中,电源270或电源275可以耦接到第一电极258和第二电极260两者。在其他实施例中,电源270或电源275可以耦接到第一电极258、第二电极260、和基板支撑组件238。在这样的实施例中,对第一电极258、第二电极260、和基板支撑组件238中的每一者的脉冲延迟可以是不同的。电极组件216可配置为产生平行于由基板支撑组件238的第一表面界定的x-y平面的电场。例如,电极组件216可以被配置为在x-y平面中的y方向、x方向或其他方向的一者上产生电场。
电源270和电源275被配置为,例如,向电极组件216供应约500V至约100kV之间,以产生具有约0.1MV/m至约100MV/m之间的强度的电场。在一些实施例中,电源274亦可被配置为向电极组件216提供功率。在一些实施例中,电源270、电源274、或电源275中的任何一个或全部是脉冲直流(DC)电源。脉冲DC波可以来自半波整流器或全波整流器。DC功率可以具有在约10Hz和1MHz之间的频率。脉冲DC功率的占空比(duty cycle)可以在约5%至约95%之间,例如在约20%至约60%之间。在一些实施例中,脉冲DC功率的占空比可以在约20%至约40%之间。在其他实施例中,脉冲DC功率的占空比可以是约60%。脉冲DC功率的上升和下降时间可以在约1ns至约1000ns之间,例如在约10ns至约500ns之间。在其他实施例中,脉冲DC功率的上升和下降时间可以在约10ns至约100ns之间。在一些实施例中,脉冲DC功率的上升和下降时间可以是约500ns。在一些实施例中,电源270、电源274、和电源275中的任何一个或全部是交流电源。在其他实施例中,电源270、电源274、和电源275中的任何一个或全部是直流电源。
在一些实施例中,电源270、电源274、和电源275中的任何一个或全部可以使用DC偏差。DC偏差可以是,例如,在所施加的电压的约0%至约75%之间,例如在所施加的电压的约5%至约60%之间。在一些实施例中,第一电极258和第二电极260被负脉冲,而基板支撑组件238也被负脉冲。在这些实施例中,第一电极258和第二电极260以及基板支撑组件238是同步的,但是在时间上是偏差的。例如,当基板支撑组件处于“零”状态时,第一电极258可以处于“一”状态,接着当第一电极258处于“零”状态时,基板支撑组件238可以处于“一”状态。
电极组件216大约横跨基板支撑组件238的宽度。在其他实施例中,电极组件216的宽度可以小于基板支撑组件238的宽度。例如,电极组件216可横跨基板支撑组件238的宽度的约10%至约80%之间,例如约20%至约40%之间。在电极组件216的宽度小于基板支撑组件238的实施例中,致动器290可以使电极组件216在位于基板支撑组件238的第一表面234上的基板240的表面上扫描。例如,致动器290可以扫描使得电极组件216扫描基板240的整个表面。在其他实施例中,致动器290可以仅扫描基板240的某些部分。或者,基板支撑组件238可在电极组件216下方扫描。
在一些实施例中,一或多个磁体296可以定位在处理腔室200中。在图1所示的实施例中,磁体296耦接到侧壁206的内表面。在其他实施例中,磁体296可位于处理腔室200内或处理腔室200外的其他位置。磁体296可以是,例如,永磁体或电磁体。代表性的永磁体包括陶瓷磁体和稀土磁体。在磁体296包括电磁体的实施例中,磁体296可耦接至电源(未示出)。磁体296被配置为产生在与由电极组件216在基板支撑组件238的第一表面234处产生的电场线的方向垂直或平行的方向上的磁场。例如,当由电极组件216产生的电场在y方向上时,磁体296可配置为产生在x方向上的磁场。磁场沿垂直于磁场的方向,例如平行于潜像线255的方向,驱动光刻胶层250中的光酸产生剂产生的带电物质355(示于图3)和极化物质(未示出)。通过沿平行于潜像线255的方向驱动带电物质355和极化物质,可以控制曝光的光刻胶层的线轮廓。带电物质355和极化物质的均匀方向性和/或受控运动由图3中的双向箭头370示出。相反,如箭头370'所示,当不施加磁场时,带电物质355和极化物质可随机移动。
继续参考图3,电极组件216至少包括第一电极258和第二电极260。第一电极258包括第一端子310、第一支撑结构330、和一或多个天线320。第二电极260包括第二端子311、第二支撑结构331、和一或多个天线321。第一电极258的第一端子310、第一支撑结构330、以及一或多个天线320可以形成一体。替代地,第一电极258可包括可以耦接在一起的分开的部分。例如,一或多个天线320可以是可与第一支撑结构330拆离的。第二电极260可以类似地是一体的,或者由分离的可拆离部件组成。可以通过任何合适的技术来制造第一电极258和第二电极260。例如,可以通过机械加工、铸造、或增材制造来制造第一电极258和第二电极260。
第一支撑结构330可以由导电材料制成。例如,第一支撑结构330可以由硅、多晶硅、碳化硅、钼、铝、铜、石墨、银、铂、金、钯、锌、其他材料或上述材料的混合物制成。第一支撑结构330可以具有任何期望的尺寸。例如,第一支撑结构330的长度L可以在约25mm与约450mm之间,例如,在约100mm与约300mm之间。在一些实施例中,第一支撑结构330的长度L大约等于标准半导体基板的直径。在其他实施例中,第一支撑结构330的长度L大于或小于标准半导体基板的直径。例如,在不同的代表实施例中,第一支撑结构330的长度L可以是约25mm、约51mm、约76mm、约100mm、约150mm、约200mm、约300mm、或约450mm。第一支撑结构330的宽度W可以在约2mm与约25mm之间。在其他实施例中,第一支撑结构330的宽度W小于约2mm。在其他实施例中,第一支撑结构330的宽度W大于约25mm。第一支撑结构330的厚度可以是约1mm至约10mm之间,例如约2mm至约8mm之间,例如约5mm。在一些实施例中,第一支撑结构330可以是正方形、圆柱形、矩形、椭圆形、或其他形状。具有弯曲的外表面的实施例可以避免电弧放电。
第二支撑结构331可以由与第一支撑结构330相同的材料制成。适用于第一支撑结构330的尺寸范围也适用于第二支撑结构331。在一些实施例中,第一支撑结构330和第二支撑结构331由相同的材料制成。在其他实施例中,第一支撑结构330和第二支撑结构331由不同的材料制成。第一支撑结构330和第二支撑结构331的长度L、宽度W、和厚度可以相同或不同。
第一电极258的一或多个天线320也可由导电材料制成。一或多个天线320可以由与第一支撑结构330相同的材料制成。第一电极258的一或多个天线320可以具有任何期望的尺寸。例如,一或多个天线320的长度L1可以在约25mm与约450mm之间,例如,在约100mm与约300mm之间。在一些实施例中,一或多个天线320的长度L1近似等于标准基板的直径。在其他实施例中,一或多个天线320的长度L1可以在标准基板的直径的约75%至90%间。一或多个天线320的宽度W1可以在约2mm与约25mm之间。在其他实施例中,一或多个天线320的宽度W1小于约2mm。在其他实施例中,一或多个天线320的宽度W1大于约25mm。一或多个天线320的厚度可以在约1mm与约10mm之间,例如在约2mm与约8mm之间。一或多个天线320可以具有正方形、矩形、椭圆形、圆形、圆柱形、或另一种形状的横截面。具有圆形外表面的实施例可避免电弧放电。
每个天线320可具有相同的尺寸。替代地,一或多个天线320中的一些可具有与其他天线320中的一或多个不同的尺寸。例如,一或多个天线320中的一些可具有与其他天线320中的一或多个不同的长度L1。一或多个天线320中的每一个可以由相同的材料制成。在其他实施例中,一些天线320可以由与其他天线320不同的材料制成。
天线321可以由与天线320相同范围的材料制成。适用于天线320的尺寸范围也适用于天线321。在一些实施例中,天线320和天线321由相同的材料制成。在其他实施例中,天线320和天线321由不同的材料制成。天线320和天线321的长度L1、宽度W1、和厚度可以相同或不同。
天线320可包括1到约40个天线320。例如,天线320可包括约4至约40个天线320,例如约10至约20个天线320。在其他实施例中,天线320可包括多于40个天线320。在一些实施例中,每个天线320可以基本垂直于第一支撑结构330。例如,在第一支撑结构330是直的实施例中,每个天线320可以基本上平行于第一支撑结构330。每个天线320可以基本上平行于每个其他天线320。每个天线321可以相对于支撑结构331和每个其他天线321类似地定位。
每个天线320具有终端323。每个天线321具有终端325。在第一支撑结构330和终端325之间界定距离C。在第二支撑结构331和终端323之间界定距离C'。距离C和C'中的每一个可以在约1mm和约10mm之间。在其他实施例中,距离C和C'可以小于约1mm或大于约10mm。在一些实施例中,距离C和距离C'相等。在其他实施例中,距离C和距离C'是不同的。
在天线321中的一个天线与天线321中的相邻天线的面对表面之间界定距离A。在一个天线320与天线320的相邻天线的面对表面之间界定距离A'。距离A和A'可以大于约6mm。例如,距离A和A'可以在约6mm和约20mm之间,例如在约10mm和约15mm之间。每个相邻天线321、320之间的距离A和A'可以相同或不同。例如,一或多个天线320的第一与第二天线、第二与第三天线、以及第三与第四天线之间的距离A'可以不同。在其他实施例中,距离A'可以相同。
在天线320中的一个天线与天线321中的相邻天线的面对表面之间界定距离B。距离B可以例如大于约1mm。例如,距离B可以在约2mm与约10mm之间,例如在约4mm与约6mm之间。在其间界定的距离B可以相同、每个距离B可以不同、或者一些距离B可以相同,而一些距离B可以不同。调节距离B允许容易地控制电场强度。
可以在光刻胶层250上方以交替布置来定向天线320、321。例如,第一电极258的天线320和第二电极260的天线321可以被定位成使得天线320中的至少一个天线被定位在天线321的两个天线之间。另外,至少一个天线321可以位于天线320的两个天线之间。在一些实施例中,天线320中除了一个天线之外的所有天线都位于天线321的两个天线之间。在那些实施例中,天线321中除了一个天线之外的所有天线都可位于天线320的两个天线之间。在一些实施例中,天线320和天线321可各自仅具有一个天线。
在一些实施例中,第一电极258具有第一端子310,而第二电极260具有第二端子311。第一端子310可以是第一电极358与电源270、电源275、或地之间的接点。第二端子311可以是第二电极260和电源270、电源270、或地之间的接点。第一端子310和第二端子311被示出为分别在第一电极258和第二电极260的一端。在其他实施例中,第一端子310和第二端子311可分别位于第一电极258和第二电极上的其他位置。第一端子310和第二端子311分别具有与第一支撑结构330和支撑结构331不同的形状和尺寸。在其他实施例中,第一端子310和第二端子311可分别具有与第一支撑结构330和支撑结构331大体相同的形状和尺寸。
在操作中,可以从诸如电源270、电源274、或电源275之类的电源向第一端子310、第二端子311、和/或基板支撑组件238提供电压。所提供的电压在一或多个天线320的每个天线与一或多个天线321的每个天线之间建立电场。在一或多个天线320的天线与一或多个天线321的相邻天线之间的电场将会最强。天线320、321的交错且对准的空间关系在与基板支撑组件238的第一表面234所界定的平面平行的方向上产生电场。基板240定位在第一表面234上,使得潜像线255平行于由电极组件216产生的电场线。由于带电物质355带电,因此带电物质355受到电场的影响。电场沿电场的方向驱动光刻胶层250中的光酸产生剂产生的带电物质355。通过沿平行于潜像线255的方向驱动带电物质355,可以减小线边缘粗糙度。双向箭头370示出均匀的定向运动。相反地,当没有将电压施加到第一端子310或第二端子311时,不会产生电场来沿任何特定方向驱动带电物质355。结果,带电物质355可以如箭头370'所示随机移动,这可能导致警示(wariness)或线粗糙度。
图4描绘了在光刻曝光处理之后设置在基板400上的膜结构404。光刻胶层407设置在膜结构404上。膜结构404包括设置在基板400上的目标层(target layer)402。随后图案化目标层402,以在目标层402中形成期望的装置特征。应注意,附加层(例如硬掩模)、底层(例如有机材料、无机材料、或有机或无机材料的混合物)、或其他合适的材料可以根据需要设置在目标层402上并且在光刻胶层407下方,以增强光刻分辨率和光刻胶轮廓控制。
光刻胶层407可以是能够进行化学放大反应的正性光刻胶和/或负性光刻胶。光刻胶层407是聚合物有机材料。
在使用底层或硬掩模层的实施例中,底层可包含一或多种添加剂,例如酸剂(acidagent)(例如光酸产生剂(photoacid generator,PAG)或酸催化剂)、碱剂(base agent)、助粘剂或光敏成分。可以将一或多种添加剂置于有机溶剂或树脂和/或无机基质材料中。包括光酸产生剂(PAG)和/或酸催化剂的酸剂的合适示例可选自由以下组成的组:磺酸(例如对甲苯磺酸、苯乙烯磺酸)、磺酸盐(例如吡啶对甲苯磺酸盐(pyridinium p-toluenesulfonate)、三磺甲烷磺酸吡啶(pyridinium trilluoromethanesulfonate)、吡啶3-硝基苯磺酸盐(pyridinium3-nitrobenzensulfonate))、及其混合物。合适的有机溶剂可包括均聚物(homo-polymer)或含有两个或更多个重复单元和聚合物骨架的更高聚合物。有机溶剂的合适示例包括但不限于丙二醇甲醚醋酸酯(PGMEA)、乳酸乙酯(EL)、丙二醇甲醚(PGME)、丙二醇正丙醚(propylene glycol n-propyl ether,PnP)、环己酮、丙酮、伽马丁内酯(GBL)及其混合物。
在一个示例中,底层在光刻曝光处理、曝光前烘烤处理、或曝光后烘烤处理期间提供活性酸剂、碱剂或离子/非离子物质,以帮助控制从上部的光刻胶层407的光酸流动方向。
硬掩模层可以是由以下组成的组制成的抗反射涂层(ARC):氧化硅、氮化硅、氧氮化硅、碳化硅、非晶碳、掺杂的非晶碳、TEOS氧化物、USG、SOG、有机硅、含氧化物的材料氮化钛、氮氧化钛、其组合及类似材料。
如上所述,可以在光刻曝光处理、曝光前烘烤处理、或曝光后烘烤处理期间,尤其是曝光后烘烤处理期间,施加来自电极116的电场以及来自磁体296的磁场。在图4所示的示例中,在光刻曝光处理之后在曝光后烘烤处理期间施加电场和/或磁场。在曝光后烘烤处理期间,热能以及电场和/或磁场被施加到基板400。在光刻胶层407中的第一区域408中产生光酸,如图4中的e-所示,在该处其中的光酸产生剂(PAG)已经从先前的光刻曝光处理暴露于光辐射412(例如UV光辐射)。然而,通常,光酸的运动通常是随机的,并且光酸的分布可能在第一区域408中不均匀地分布,或者可能没有在第一区域408和第二区域406之间界定的平面中形成的界面430(与第二区域406相接)设置有明确的边界,导致部分光酸漂移并扩散到第二区域406中,如箭头422所示,第二区域406不意欲具有光酸产生。如此,如箭头422所示,横向光酸移动(例如,平行于基板400的平面的方向)漂移到第二区域406中,可能导致线边缘粗糙度、分辨率损失、光刻胶基脚(photoresist footing)、轮廓变形、和/或在光刻处理期间缩小焦深(DOF)的窗,从而导致将不精确的特征转移到下面的目标层402和/或最终导致装置故障。
尽管本文讨论的示例示为电子从光酸的移动,但应注意,当电场被施加到光刻胶层407时,任何合适的物质,包括电荷、带电粒子、光子、离子、电子、或任何形式的反应性物质,也可具有相似的作用。
通过在曝光后烘烤处理期间向光刻胶层407施加电场和/或磁场,可以有效地使光酸在暴露的第一区域408中的分布改变方向、受控制和受限制。施加到光刻胶层407的电场可以在横向运动(例如,由箭头422示出的x方向)最小的情况下在垂直方向(例如,由箭头416和420示出的y方向,基本垂直于基板400的平面)上移动光酸,而不会扩散到相邻的第二区域406中。通常,光酸可具有可被施加于其上的电场或磁场影响的某些极性,从而使光酸在某些方向上定向,并在暴露的第一区域408中产生光酸的期望的定向运动,而不会越过进入相邻的受保护的第二区域406中。此外,当实行曝光后烘烤处理时,亦可良好地控制、增强和改善光刻胶线边缘粗糙度、光刻处理期间的焦深(DOF)的处理窗、以及线关键尺寸均匀性。
在一个示例中,可以进一步控制光酸以沿如箭头414所示的横向平面在纵向方向(例如,由箭头428所示的z方向,界定在与由光掩模410保护的光刻胶层407的第二区域406相接的平面中)定向地移动,以控制限制在暴露的第一区域408中的光酸的纵向分布,而不会沿如箭头422所示的x方向越过进入光刻胶层407的第二区域406。产生到光刻胶层407的磁场可以使电子沿着某一磁力线,例如纵向方向(例如,箭头428所示的z方向)沿轨道运动(orbit),以便进一步将光酸控制在期望的三维分布中。磁场和电场之间的相互作用可以最佳化限制在暴露的第一区域408中的所期望的某种路径上的光酸轨道。此外,期望垂直的光酸运动以消除由曝光工具自然产生的驻波,从而提高曝光分辨率。
在一个实施例中,在曝光后烘烤处理期间,可将强度介于约100Mv/m与约2000MV/m之间的电场施加至光刻胶层407,以将在光刻胶层407中产生的光酸限制在垂直方向中,例如,沿y方向。在一个实施例中,在曝光后烘烤处理期间,可将介于5特斯拉(T)与500特斯拉(T)之间的磁场与电场一起施加至光刻胶层407,以将在光刻胶层407中产生的光酸以最小横向方向(例如,沿x方向)限制在纵向方向和垂直方向(例如,沿y和z方向)中。当暴露于磁场和电场的组合时,所产生的光酸可进一步限制为沿纵向方向分布,例如沿箭头428所示的方向,并保留在光刻胶层407的第一区域408中,在暴露的第一区域408内平行于界面430。
在一个实施例中,可以根据需要分别施加电场和磁场。至少一个电场和磁场的一或多个特征可以在施加期间动态地变化,以控制所述场随着时间的形状,例如使所述场在曝光后烘烤处理内的多个时间段具有不同的密度、幅度和/或形状。例如,可以以可限制光酸在某些方向和方式上的运动的方式来控制在曝光后烘烤处理期间施加的电场。在一个示例中,在曝光后烘烤处理期间,被供应以产生电场的功率可以被控制在约100伏特至约5000伏特之间的范围内,例如在约100伏特至约1000伏特之间的范围内。
在一个示例中,可以以调制方式施加功率。可通过调制电流和电压中的至少一个来调制功率。功率调制的频率大于0.1Hz,例如在0.5和10Hz之间。此外,功率施加的占空比在25%到75%之间,但也可以替代地具有更大或更小的占空比。例如,可以在由无功率施加的时间段分隔开的多个时间段期间施加功率。
在一个示例中,在保持电流恒定的同时调制电压。可以以逐步的方式、以线性的方式、或使用其他电压施加曲线来调制电压。当以逐步的方式调制时,可以在第一电压和较低的第二电压之间调制电压。在第一示例中,第一和第二电压均为正。在第二示例中,第一电压为正,而第二电压为零。在第三示例中,第一电压为正,而第二电压为负。在以上的第二和第三示例中,第一电压的幅度大于或等于第二电压的幅度。在以上示例中,施加第一电压的持续时间可以与施加第二电压的持续时间相同、比施加第二电压的持续时间更长、或更短。在以上示例中,第一电压和第二电压的施加可以以每秒至少两次循环,例如每秒至少30-120次。此外,第一和第二电压的施加可以在第一频率下循环第一时间段,而第一和第二电压的施加在第二频率下循环第二时间段。选择性地,可以在施加第一和第二电压之后并且在循环通过第一和第二电压的第二施加之前施加第三电压。
在另一个示例中,在保持电压恒定的同时调制电流。可以以逐步的方式、以线性的方式、或使用其他电流施加曲线来调制电流。当以逐步的方式调制时,可以在电流电压和较低的第二电流之间调制电流。在第一示例中,第一电流和第二电流均为正。在第二示例中,第一电流为正,而第二电流为零。在第三示例中,第一电流为正,而第二电流为负。在以上的第二和第三示例中,第一电流的幅度可以大于或等于第二电流的幅度。在以上示例中,施加第一电流的持续时间可以与施加第二电流的持续时间相同、比施加第二电流的持续时间更长、或更短。在以上示例中,第一电流和第二电流的施加可以以每秒至少两次循环,例如每秒至少30-120次。此外,第一和第二电流的施加可以在第一频率下循环第一时间段,而第一和第二电流的施加在第二频率下循环第二时间段。选择性地,可以在施加第一和第二电流之后并且在循环通过第一和第二电流的第二施加之前施加第三电流。
在又另一示例中,电流和电压两者被同时调制。
在其他示例中,可以调制磁场和电场中的至少一个或两者。磁场和/或电场可以在调制或不调制功率的情况下调制。磁场和/或电场的频率大于0.1Hz,例如在0.5和10Hz之间。此外,磁场和/或电场施加的占空比在25%到75%之间,但可以替代地具有更大或更小的占空比。例如,可在由无场施加的时间段分隔开的多个时间段期间施加磁场和/或电场中的一者或两者。
在一个示例中,在不调制磁场的情况下调制电场。可以以逐步的方式、以线性的方式、或使用其他电施加曲线来调制电场。当以逐步的方式调制时,可以在第一电场密度和较低的第二电场密度之间调制电场。在第一示例中,第一电场和第二电场均为正。在第二示例中,第一电场为正,而第二电场为零。在第三示例中,第一电场为正,而第二电场为负。在以上的第二和第三示例中,第一电场的幅度大于或等于第二电场的幅度。在以上示例中,施加第一电场的持续时间可以与施加第二电场的持续时间相同、比施加第二电场的持续时间更长、或更短。在以上示例中,第一电场和第二电场的施加可以以每秒至少两次循环,例如每秒至少30-120次。此外,第一和第二电场的施加可以在第一频率下循环第一时间段,而第一和第二电场的施加在第二频率下循环第二时间段。选择性地,可以在施加第一和第二电场之后并且在循环通过第一和第二电场的第二施加之前施加第三电场。
在另一示例中,在不调制电场的情况下调制磁场。可以以逐步的方式、以线性的方式、或使用其他磁性施加曲线来调制磁场。当以逐步的方式调制时,可以在第一磁场密度和较低的第二磁场密度之间调制磁场。在第一示例中,第一磁场和第二磁场均为正。在第二示例中,第一磁场为正,而第二磁场为零。在第三示例中,第一磁场为正,而第二磁场为负。在以上的第二和第三示例中,第一磁场的幅度大于或等于第二磁场的幅度。在以上示例中,施加第一磁场的持续时间可以与施加第二磁场的持续时间相同、比施加第二磁场的持续时间更长、或更短。在以上示例中,第一磁场和第二磁场的施加可以以每秒至少两次循环,例如每秒至少30-120次。此外,第一和第二磁场的施加可以在第一频率下循环第一时间段,而第一和第二磁场的施加在第二频率下循环第二时间段。选择性地,可以在施加第一和第二磁场之后并且在循环通过第一和第二磁场的第二施加之前施加第三磁场。
此外,根据需要,所施加的电压功率可以处于连续模式或脉冲模式。在一个示例中,被施加以产生电场的电压功率处于脉冲模式。在一个示例中,为产生电场而供应的电压功率可以在每个工作周期的约5%至约75%之间脉冲化。每个工作周期,例如在每个时间单元之间,在约0.1秒至约10秒之间,例如约5秒。
在一个示例中,所产生的电场的频率可以在曝光后烘烤处理期间的任何一点被调节或改变。在一个示例中,可在曝光后烘烤处理期间在动态频率控制模式下产生电场。例如,所产生的电场的频率可以在设定的时间段期间从第一水平改变为第二水平,例如所述设定的时间段根据需要是从每0.5秒至约每10秒。所产生的电场的频率可以根据需要在给定的时间模式下在第一水平和第二水平之间来回切换。在每次切换,电场的频率的选定水平可以保持确定的时间段,例如根据需要从约0.5秒到约5秒之间。通过动态地改变所产生的电场的频率,也可以根据需要改变光刻胶层的轮廓。
首先参考图6中描绘的暴露的光刻胶层407。与上文讨论的图4中描绘的光刻胶层407相似,光刻胶层407具有侧壁604和顶表面602。光刻胶层407设置在目标层402的顶表面606上。顶表面602和侧壁604界定第一角度α(例如,隅角(corner angle))。当利用在曝光后烘烤处理期间施加的电场的动态频率控制模式时,可以获得第一角度α并将其控制在约75度至105度之间,例如约85度至约95度之间,例如接近90度的理想直角。类似地,光刻胶层407的侧壁604和目标层402的顶表面606亦界定了介于约75度和105度之间的第二角度β(例如,隅角),第二角度β例如在85度和约95度之间,例如接近90度的理想直角。由于常规实施经常在目标层402的顶表面606上留下浮渣(scum),通过利用在曝光后烘烤处理期间施加的电场的动态频率控制模式,可以得到相对干净的表面,例如无残留物和/或浮渣的表面。此外,由于更清洁的表面,在显影处理之后,也可以更好地控制光刻胶层407的轮廓。可以消除一些缺陷,例如光刻胶剂基脚(photoresist footing)、侧壁悬垂(sidewall overhang)、或顶部渐缩(top portion taper),以提供具有期望轮廓的光刻胶层407,例如具有基本上垂直的侧壁和/或被控制在约85度至约95度之间的第一角度α和第二角度β。
因此,通过精确地控制光刻胶层407的轮廓,也可以在转移到目标层402(例如,材料层)中的特征的拐角处获得类似的角度控制。因此,转移到目标层402的特征或开口亦可具有良好的轮廓,其中具有被控制在约85度至约95度之间的范围内的期望的隅角。因此,利用光刻胶层407的良好控制的和期望的轮廓,通过增强和扩大的处理窗,特别是在光刻处理期间的焦深(DOF),可以获得光刻处理的高分辨率。例如,针对焦深(DOF)的处理窗可以增加20%到约95%。
此外,在曝光后烘烤处理期间,可以以亦有助于限制光酸在光刻胶层407中的移动的方式来控制提供给基板400和光刻胶层407的热能。可通过控制设置在基板支撑组件238中的嵌入式加热器232来提供热能。在一个示例中,可将基板400的温度控制在约10摄氏度(诸如室温)至约130摄氏度之间,例如约120摄氏度。相信在曝光后烘烤处理期间提供的热能可以增强由电场和/或磁场驱动的电子的动能或动量,从而可提高光酸运动的控制效率。
在一些实施例中,供应到基板400的热能可以在供应电场和/或磁场的时间段之前、与之同步、或之后。在一个示例中,在将电场和/或磁场施加到基板400之前,将热能(例如,启动放置基板400的基板支撑组件238中的加热器232)提供给基板400。相信在电场和/或磁场之前提供的热能可以帮助将电子活化到活化状态(active state),从而使电子相对容易被限制在预定的移动路径上或在预定的移动路径上加速,从而在曝光后烘烤处理期间增强光刻胶层的电性能,例如光子吸收效率、剂量敏感性、或漂移方向性控制。由于不仅通过电场/磁场,而且通过热能来活化和/或驱动电子,所以可以将诸如用于实行曝光后烘烤处理的总时间的总处理时间减少到比在曝光后烘烤处理期间仅施加热能的处理时间少约5%至约40%之间的范围,例如约20%。
在曝光后烘烤处理之后,可以根据需要实行各向异性蚀刻处理或其他合适的图案化/蚀刻处理,以将特征转移到目标层402中。
图5描绘了用于利用电场和磁场以在曝光后烘烤处理期间辅助控制光刻胶层中的光酸分布/扩散/方向性控制的方法500的流程图。方法500通过将基板(如上述基板400)放置在其中设置有电极组件和磁性组件的处理腔室(例如,图2-图3中描绘的处理腔室200)中而开始于操作502。
在操作504,在基板400被定位之后,可将电场和/或磁场单独地或共同地施加到处理腔室(在光刻曝光处理和/或曝光后烘烤处理期间)以控制在其下设置有底层的光刻胶层中的光酸运动。应注意,电场和/或磁场可以在烘烤基板400的同时、之前、或之后施加,如将在操作506处进一步讨论的。换言之,可以根据需要在操作506处的烘烤处理之前或之后实行在操作504处的单独或共同施加电场和/或磁场到基板。
在将电场和/或磁场单独或共同施加到光刻胶层和设置在基板上的底层之后,所产生的光酸可主要沿垂直方向、纵向方向、环形方向、或任何所需的所想要的方向移动。由于在曝光后烘烤处理期间电场和/或磁场提供的帮助,因此可以有效地控制光刻胶层中的光酸运动。
在操作506,提供热能以烘烤(例如,固化)光刻胶层。在曝光后烘烤处理期间,也可以向光刻胶层提供能量(例如,电能、热能、或其他合适的能量)。在此处描绘的一个示例中,能量是在曝光后烘烤处理期间提供给基板的热能,以及在操作504处施加的电场和/或磁场。通过在操作506处在施加电场和/或磁场的同时利用动态频率控制模式,可以获得期望的边缘轮廓,其具有高分辨率、剂量敏感性、线塌陷(line collapse)抵抗性、针对焦深(DOF)的增强处理窗和最小的线边缘粗糙度。可以有效地引导光刻胶层中的光酸、淬灭体、离子、电子、和其他带电物质,以便沿期望的方向移动。因此,在曝光后烘烤处理期间施加电场和/或磁场的益处包括针对焦深(DOF)的增强的处理窗、所需的最小线边缘粗糙度、线宽粗糙度、局部关键尺寸均匀性、关键尺寸可行性、和纳米缺陷(例如抗蚀剂浮渣(resistscumming)、线合并(line merge)、线断裂(line breaking)等)减少。结果,改善了装置产量。
在一个示例中,被提供以控制电场和/或磁场的功率可以根据需要处于连续模式、脉冲模式、或混合的连续或脉冲模式的组合中。
前面描述的实施例具有许多优点,包括以下优点。例如,本文揭示的实施例可以通过在曝光后烘烤处理期间在施加电场和/或磁场时的动态频率控制模式来以高分辨率和尖锐边缘轮廓来减小或消除线边缘/宽粗糙度,并且在光刻处理期间增强焦深(DOF)的处理窗。前述优点是说明性的而不是限制性的。并不必然所有实施例都具有所有的优点。
虽然前述内容是针对本揭示内容的实施例,但可在不脱离本揭示内容的基本范围的情况下设计本揭示内容的其他和进一步的实施例,并且其范围由随附权利要求书来判定。

Claims (20)

1.一种处理基板的方法,所述方法包括:
在设置在基板上的材料层上施加包括光酸产生剂的光刻胶层;
在光刻曝光处理中,使未受光掩模保护的所述光刻胶层的第一部分暴露于光辐射;
在曝光后烘烤处理中向所述光刻胶层提供热能;
在实行所述曝光后烘烤处理的同时,施加电场或磁场中的至少一者;和
在向所述光刻胶层提供所述热能的同时,动态地改变所述电场或所述磁场中的至少一者的特性。
2.如权利要求1所述的方法,其中施加所述电场或所述磁场进一步包括:
在实行所述曝光后烘烤处理的同时,控制光刻胶线边缘粗糙度和抗蚀剂浮渣。
3.如权利要求1所述的方法,其中施加所述电场或所述磁场进一步包括:
每秒至少两次循环所述电场或所述磁场的所述施加。
4.如权利要求1所述的方法,其中动态地改变所述电场或所述磁场中的至少一者的特性进一步包括:
以逐步的方式、线性方式、或功率曲线来施加功率。
5.如权利要求4所述的方法,其中在第一电场密度和较低的第二电场密度之间调制产生所述电场的功率。
6.如权利要求4所述的方法,其中通过在第一电压和较低的第二电压之间调制电压来调制产生所述电场的功率以提供第一电场和第二电场,其中产生所述第一电场和所述第二电场的所述第一电压和所述第二电压两者均为正。
7.如权利要求4所述的方法,其中通过在第一电压和较低的第二电压之间调制电压来调制产生所述电场的功率以提供第一电场和第二电场,其中产生所述第一电场和所述第二电场的所述第一电压和所述第二电压中的一者为零。
8.如权利要求4所述的方法,其中通过在第一电压和较低的第二电压之间调制电压来调制产生所述电场的功率以提供第一电场和第二电场,其中产生所述第一电场和所述第二电场的所述第一电压和所述第二电压具有相反的极性。
9.如权利要求4所述的方法,其中产生所述电场的功率被调制以提供第一电场和第二电场,其中所述第一电场的幅度、形状或功率密度中的至少一者大于或等于所述第二电的幅度、形状或功率密度中的至少一者。
10.如权利要求1所述的方法,其中在所述曝光后烘烤处理期间,将电场强度控制在约100MV/m与约2000MV/m之间。
11.如权利要求1所述的方法,其中施加所述电场或所述磁场进一步包括:
将所述磁场控制在约5特斯拉(T)和约500特斯拉(T)之间的范围内。
12.如权利要求1所述的方法,进一步包括:
去除所述光刻胶层的所述第一部分以在所述光刻胶层中形成开口;和
实行蚀刻处理以通过所述光刻胶层中的所述开口蚀刻所述材料层。
13.如权利要求12所述的方法,进一步包括在所述材料层中形成特征,其中所述特征具有介于约85度与95度之间的隅角。
14.一种处理基板的方法,所述方法包括:
在基板上施加光刻胶层;
在光刻曝光处理中,将未受光掩模保护的所述光刻胶层的第一部分暴露于光辐射;
对所述光刻胶层实行曝光后烘烤处理;和
在向所述光刻胶层提供热能时,垂直地改变所述光刻胶层中光酸产生剂的漂移方向。
15.如权利要求14所述的方法,其中改变所述光酸产生剂的所述漂移方向进一步包括:
调制电场以提供第一电场和第二电场,其中在向所述光刻胶层提供所述热能时,所述第一电场的幅度、形状或功率密度中的至少一者大于或等于所述第二电的幅度、形状或功率密度中的至少一者。
16.如权利要求15所述的方法,其中调制所述电场进一步包括:
每秒至少两次循环所述电场的施加。
17.如权利要求15所述的方法,其中调制所述电场进一步包括:
以逐步的方式、线性方式、或功率曲线来施加功率。
18.如权利要求15所述的方法,其中改变所述光酸产生剂的所述漂移方向进一步包括:
调制磁场。
19.如权利要求18所述的方法,其中调制所述电场进一步包括:
在所述曝光后烘烤处理的不同时间段的期间施加第一电压和第二电压,其中以下至少一者:(a)所述第一电压为零或具有正极性,(b)和第二电压为零或具有负极性。
20.一种装置结构,包括:
设置在基板上的材料层;和
在所述材料层中形成的多个开口,其中跨所述基板形成的所述开口具有在约85度与约95度之间的隅角,且其中所述开口具有在约3nm与约5nm之间的线宽粗糙度。
CN202180019576.0A 2020-03-20 2021-02-03 用于光刻胶图案化的光刻处理窗增强 Pending CN115244469A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/825,393 US11429026B2 (en) 2020-03-20 2020-03-20 Lithography process window enhancement for photoresist patterning
US16/825,393 2020-03-20
PCT/US2021/016301 WO2021188218A1 (en) 2020-03-20 2021-02-03 Lithography process window enhancement for photoresist patterning

Publications (1)

Publication Number Publication Date
CN115244469A true CN115244469A (zh) 2022-10-25

Family

ID=77748646

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180019576.0A Pending CN115244469A (zh) 2020-03-20 2021-02-03 用于光刻胶图案化的光刻处理窗增强

Country Status (7)

Country Link
US (2) US11429026B2 (zh)
EP (1) EP4121820A4 (zh)
JP (1) JP2023518754A (zh)
KR (1) KR20220150390A (zh)
CN (1) CN115244469A (zh)
TW (2) TWI816094B (zh)
WO (1) WO2021188218A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115981101A (zh) * 2023-03-17 2023-04-18 湖北江城芯片中试服务有限公司 半导体结构的制造方法及半导体结构

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210041785A1 (en) * 2019-08-09 2021-02-11 Applied Materials, Inc. Process control of electric field guided photoresist baking process
US11429026B2 (en) * 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US20220390847A1 (en) * 2021-06-08 2022-12-08 Applied Materials, Inc. Metal oxide resist patterning with electrical field guided post-exposure bake

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3097402A (en) 1963-07-16 Shoe for window hinge
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5215619A (en) 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5258266A (en) 1989-11-16 1993-11-02 Mitsubishi Denki Kabushiki Kaisha Method of forming minute patterns using positive chemically amplifying type resist
JP2924066B2 (ja) 1990-03-31 1999-07-26 凸版印刷株式会社 画像形成方法
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
KR930021034A (ko) 1992-03-31 1993-10-20 다니이 아끼오 플라즈마발생방법 및 그 발생장치
JP3589365B2 (ja) 1996-02-02 2004-11-17 富士写真フイルム株式会社 ポジ画像形成組成物
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
KR100239440B1 (ko) 1997-08-20 2000-01-15 김영환 화학 증폭형 포토레지스트의 패터닝 방법
US6143124A (en) 1997-08-22 2000-11-07 Micron Technology, Inc. Apparatus and method for generating a plasma from an electromagnetic field having a lissajous pattern
KR100557579B1 (ko) 1997-11-05 2006-05-03 에스케이 주식회사 박막제조장치
US6187152B1 (en) 1998-07-17 2001-02-13 Cutek Research, Inc. Multiple station processing chamber and method for depositing and/or removing material on a substrate
EP1198610A4 (en) 1999-05-14 2004-04-07 Univ California PLASMA POWER GENERATING DEVICE WITH A LARGE PRESSURE RANGE AT LOW TEMPERATURES
JP2001290275A (ja) 2000-02-03 2001-10-19 Fuji Photo Film Co Ltd ポジ型フォトレジスト組成物
KR100811964B1 (ko) 2000-09-28 2008-03-10 동경 엘렉트론 주식회사 레지스트 패턴 형성장치 및 그 방법
US6797639B2 (en) 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP3696156B2 (ja) 2000-12-26 2005-09-14 株式会社東芝 塗布膜の加熱装置、レジスト膜の処理方法
US20020096114A1 (en) 2001-01-22 2002-07-25 Applied Materials, Inc. Series chamber for substrate processing
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US7160521B2 (en) 2001-07-11 2007-01-09 Applied Materials, Inc. Treatment of effluent from a substrate processing chamber
JP4251830B2 (ja) 2001-08-08 2009-04-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6841342B2 (en) 2001-08-08 2005-01-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
WO2003021642A2 (en) 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7187796B1 (en) 2003-10-01 2007-03-06 Advanced Micro Devices, Inc. Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
KR100515369B1 (ko) 2003-10-02 2005-09-14 동부아남반도체 주식회사 전기장에 의하여 반도체 소자의 미세패턴을 형성하는노광장치 및 그 방법
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
US7274429B2 (en) 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
JP2005203597A (ja) * 2004-01-16 2005-07-28 Nippon Telegr & Teleph Corp <Ntt> レジスト現像方法および装置
JP4282500B2 (ja) 2004-01-29 2009-06-24 株式会社東芝 構造検査方法及び半導体装置の製造方法
US20050250052A1 (en) 2004-05-10 2005-11-10 Nguyen Khe C Maskless lithography using UV absorbing nano particle
JP4209819B2 (ja) * 2004-07-15 2009-01-14 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
JP4410121B2 (ja) 2005-02-08 2010-02-03 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法
JP4685584B2 (ja) 2005-03-11 2011-05-18 東京エレクトロン株式会社 塗布、現像装置
US7780813B2 (en) 2005-06-09 2010-08-24 Alcatel-Lucent Usa Inc. Electric field mediated chemical reactors
US7972761B2 (en) 2006-08-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and photolithography process
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
JP4549959B2 (ja) 2005-09-14 2010-09-22 大日本スクリーン製造株式会社 基板処理装置
JP4771816B2 (ja) 2006-01-27 2011-09-14 大日本スクリーン製造株式会社 基板処理装置
US20080050679A1 (en) 2006-02-22 2008-02-28 Sokudo Co., Ltd. Methods and systems for performing immersion processing during lithography
US7838205B2 (en) 2006-07-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8501395B2 (en) 2007-06-04 2013-08-06 Applied Materials, Inc. Line edge roughness reduction and double patterning
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
EP2245512B1 (en) 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
US8084186B2 (en) 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
US8097402B2 (en) 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
JP5449239B2 (ja) 2010-05-12 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及びプログラムを記録した記憶媒体
JP5174098B2 (ja) 2010-08-09 2013-04-03 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
JP2013542613A (ja) 2010-10-27 2013-11-21 アプライド マテリアルズ インコーポレイテッド フォトレジスト線幅の荒れを制御するための方法及び装置
JP2012136507A (ja) 2010-11-15 2012-07-19 Rohm & Haas Electronic Materials Llc 塩基反応性光酸発生剤およびこれを含むフォトレジスト
US8288174B1 (en) 2011-03-24 2012-10-16 Tokyo Electron Limited Electrostatic post exposure bake apparatus and method
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
EP2534983A1 (en) 2011-06-17 2012-12-19 Philip Morris Products S.A. Merchandising unit with moveable housing
US8569187B2 (en) 2011-06-24 2013-10-29 Applied Materials, Inc. Thermal processing apparatus
US9159581B2 (en) * 2012-11-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using a bottom antireflective coating (BARC) layer
US9104113B2 (en) 2013-01-07 2015-08-11 International Business Machines Corporation Amplification method for photoresist exposure in semiconductor chip manufacturing
US9411237B2 (en) 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
GB2521751A (en) 2013-11-12 2015-07-01 Perpetuus Res & Dev Ltd Treating particles
CN110690098A (zh) 2014-02-06 2020-01-14 应用材料公司 基板支撑组件以及用于处理基板的设备
WO2015171335A1 (en) 2014-05-06 2015-11-12 Applied Materials, Inc. Directional treatment for multi-dimensional device processing
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
WO2016003575A2 (en) 2014-07-02 2016-01-07 Applied Materials, Inc. Localized stress modulation for overlay and epe
US9280070B2 (en) 2014-07-10 2016-03-08 Applied Materials, Inc. Field guided exposure and post-exposure bake process
US9798240B2 (en) * 2014-07-10 2017-10-24 Applied Materials, Inc. Controlling photo acid diffusion in lithography processes
US9366966B2 (en) 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer
US9733579B2 (en) 2014-10-15 2017-08-15 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
KR102492056B1 (ko) 2015-05-28 2023-01-26 인텔 코포레이션 포토레지스트의 확산 및 용해도 스위치 메커니즘을 분리하는 수단
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10957590B2 (en) 2018-11-16 2021-03-23 Applied Materials, Inc. Method for forming a layer
US11650506B2 (en) * 2019-01-18 2023-05-16 Applied Materials Inc. Film structure for electric field guided photoresist patterning process
US11429026B2 (en) * 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115981101A (zh) * 2023-03-17 2023-04-18 湖北江城芯片中试服务有限公司 半导体结构的制造方法及半导体结构

Also Published As

Publication number Publication date
US11429026B2 (en) 2022-08-30
EP4121820A1 (en) 2023-01-25
TW202349139A (zh) 2023-12-16
US20210294216A1 (en) 2021-09-23
JP2023518754A (ja) 2023-05-08
US11914299B2 (en) 2024-02-27
EP4121820A4 (en) 2024-04-24
WO2021188218A1 (en) 2021-09-23
US20220413387A1 (en) 2022-12-29
KR20220150390A (ko) 2022-11-10
TWI844448B (zh) 2024-06-01
TWI816094B (zh) 2023-09-21
TW202201146A (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
TWI816094B (zh) 用於光阻圖案化的微影處理窗增強
TWI830683B (zh) 用於電場引導的光阻劑圖案化製程的膜結構
KR102610050B1 (ko) 액침 필드 가이드 노광 및 노광-후 베이크 프로세스
KR101780407B1 (ko) 전기장/자기장 가이딩 산 확산
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
US12085858B2 (en) Photoresist patterning process
US9280070B2 (en) Field guided exposure and post-exposure bake process
US9927709B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US20210041785A1 (en) Process control of electric field guided photoresist baking process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination