KR20160144329A - 액침 필드 가이드 노광 및 노광-후 베이크 프로세스 - Google Patents

액침 필드 가이드 노광 및 노광-후 베이크 프로세스 Download PDF

Info

Publication number
KR20160144329A
KR20160144329A KR1020160070539A KR20160070539A KR20160144329A KR 20160144329 A KR20160144329 A KR 20160144329A KR 1020160070539 A KR1020160070539 A KR 1020160070539A KR 20160070539 A KR20160070539 A KR 20160070539A KR 20160144329 A KR20160144329 A KR 20160144329A
Authority
KR
South Korea
Prior art keywords
spacer layer
substrate
depositing
patterning
electrode assembly
Prior art date
Application number
KR1020160070539A
Other languages
English (en)
Other versions
KR102610050B1 (ko
Inventor
쥬니어 더글라스 에이. 버쉬베르거
상 기 남
비아쉬스라브 바바얀
크리스틴 와이. 오양
루도빅 고데트
스리니바스 디. 네마니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160144329A publication Critical patent/KR20160144329A/ko
Application granted granted Critical
Publication of KR102610050B1 publication Critical patent/KR102610050B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/0032Devices or apparatus characterised by heat providing or glossing means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Toxicology (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Plasma Technology (AREA)

Abstract

본원에서 개시되는 방법들은, 포토리소그래피 프로세스들 동안 에어 갭 개재(air gap intervention) 없이 포토레지스트 층에 자기장 및/또는 전기장을 인가하기 위한 방법 및 장치를 제공한다. 일 실시예에서, 장치는 프로세싱 챔버를 포함하고, 프로세싱 챔버는: 기판 지지 표면을 갖는 기판 지지부; 기판 지지 표면 상에 포지셔닝되는 기판을 가열하도록 구성된, 기판 지지부 내에 매립된(embedded) 열 소스(heat source); 기판 지지 표면에 실질적으로 수직인 방향으로 전기장을 발생시키도록 구성된 전극 어셈블리 ― 전극 어셈블리는 기판 지지 표면 맞은편에(opposite) 포지셔닝되어, 기판 지지 표면을 향하는 하향 표면(downward surface)을 가지며, 전극 어셈블리는 기판 지지부로부터 이격되어, 전극 어셈블리와 기판 지지 표면 사이에 프로세싱 볼륨(processing volume)을 정의함 ― ; 및 중간 매체(intermediate medium)를 유지하도록 구성되는, 전극 어셈블리 또는 기판 지지부의 엣지 상에 배치되는 컨파인먼트 링(confinement ring)을 포함한다.

Description

액침 필드 가이드 노광 및 노광-후 베이크 프로세스{IMMERSION FIELD GUIDED EXPOSURE AND POST-EXPOSURE BAKE PROCESS}
[0001] 본 개시내용은 일반적으로, 기판을 프로세싱하기 위한 방법들 및 장치들에 관한 것으로서, 보다 구체적으로는, 포토리소그래피 프로세스들을 개선하기 위한 방법들 및 장치들에 관한 것이다.
[0002] 집적 회로들은, 단일 칩 상에 수백만 개의 컴포넌트들(예를 들어, 트랜지스터들, 캐패시터들 및 레지스터들)을 포함할 수 있는 복잡한 디바이스들로 진화하였다. 포토리소그래피는 칩 상에 컴포넌트들을 형성하기 위해 사용될 수 있다. 일반적으로, 포토리소그래피의 프로세스는 몇 개의 기본적인 스테이지들을 수반한다. 처음에, 포토레지스트 층이 기판 상에 형성된다. 포토레지스트 층은, 예를 들어 스핀-코팅에 의해 형성될 수 있다. 화학 증폭성 포토레지스트(chemically amplified photoresist)는 레지스트 수지(resist resin) 및 광산 발생제(photoacid generator)를 포함할 수 있다. 광산 발생제는, 이후의 노광 스테이지에서 전자기 방사(electromagnetic radiation)에 노출되면, 현상 프로세스에서 포토레지스트의 용해성(solubility)을 변화시킨다. 전자기 방사는 임의의 적합한 파장, 이를 테면 EUV(extreme ultra violet) 영역에서의 파장을 가질 수 있다. 전자기 방사는, 예를 들어, 193 nm ArF 레이저, 전자 빔, 이온 빔 또는 다른 소스와 같은 임의의 적합한 소스로부터 비롯될 수 있다. 이후, 과도한 용제(solvent)가 노광-전 베이크 프로세스(pre-exposure bake process)에서 제거될 수 있다.
[0003] 노광 스테이지에서, 기판의 특정 영역들을 전자기 방사에 선택적으로 노출시키기 위해, 포토마스크 또는 레티클이 사용될 수 있다. 다른 노광 방법들은 마스크리스(maskless) 노광 방법들일 수 있다. 광에 대한 노출은, 광산 발생제를 분해할 수 있으며, 이는 산을 발생시키며, 결과적으로, 레지스트 수지에 산 잠상(latent acid image)을 초래한다. 노광 이후, 기판은 노광-후 베이크 프로세스(post-exposure bake process)에서 가열될 수 있다. 노광-후 베이크 프로세스 동안, 광산 발생제에 의해 발생되는 산은 레지스트 수지와 반응하여, 이후의 현상 프로세스 동안 레지스트의 용해성을 변화시킨다.
[0004] 노광-후 베이크 이후, 기판, 및 특히 포토레지스트 층은 현상 및 린싱될(rinsed) 수 있다. 이용되는 포토레지스트의 타입에 따라서, 전자기 방사에 노출되었던, 기판의 영역들은 제거에 저항력이 있거나 또는 제거가 더 잘되는 경향이 있을 수 있다. 현상 및 린싱 이후, 습식 또는 건식 에칭 프로세스를 사용하여, 마스크의 패턴이 기판에 전사된다.
[0005] 칩 설계의 진화는, 더 빠른 회로망 및 더 높은(greater) 회로 밀도를 계속해서 요구한다. 더 높은 회로 밀도에 대한 요구들은 집적 회로 컴포넌트들의 치수들의 감소를 필요로 한다. 집적 회로 컴포넌트들의 치수들이 감소됨에 따라, 반도체 집적 회로 상의 주어진 구역(area)에 보다 많은 엘리먼트들이 배치될 것이 요구된다. 따라서, 리소그래피 프로세스는 기판 상에 훨씬 더 작은 피처(feature)들을 전사시켜야 하며, 리소그래피는 이를 정확하게, 정밀하게, 그리고 손상없이 수행해야 한다. 기판 상에 피처들을 정확하고 정밀하게 전사시키기 위해, 고 해상도 리소그래피는, 작은 파장(small wavelength)들에서 방사를 제공하는 광원을 사용할 수 있다. 작은 파장들은 기판 또는 웨이퍼 상에서의 최소의 프린트가능(printable) 크기를 감소시키는 것을 돕는다. 하지만, 작은 파장 리소그래피는, 이를 테면, 낮은 처리량, 증가된 라인 엣지 거칠기, 및/또는 감소된 레지스트 감도와 같은 문제들을 겪는다.
[0006] 최근의 발전(development)에서는, 리소그래피 노광/현상 해상도를 개선하기 위해, 전자기 방사가 전달(transmit)되는, 포토레지스트 층의 일부의 화학적 특성들을 변경(modify)하기 위해, 노광 프로세스 이전에 또는 노광 프로세스 이후에, 기판 상에 배치된 포토레지스트 층에 전기장을 발생시키도록 전극 어셈블리가 활용된다. 하지만, 포토레지스트 층에 근접하여 발생되는 전기장의 부정확한 필드 세기(field strength) 제어는, 화학 특성 변화를 위해 포토레지스트 층에 불충분한 전기장 에너지가 전달되도록 초래할 수 있다. 또한, 기판과 전극 어셈블리 간의 상이한 간헐적 매체(intermittent medium)로부터의 전달로부터 비롯되는, 기판과 전극 어셈블리 간의 요구되지 않는 전압 강하는 또한, 기판 상에 배치되는 포토레지스트 층에 대해 발생되는 전기장 세기에 영향을 미칠 수 있다.
[0007] 따라서, 포토레지스트 층에 대해 발생되는 전기장 발생의 제어를 개선하면서, 포토리소그래피 프로세스들을 개선하기 위한 방법 및 장치가 필요하다.
[0008] 본원에서는, 포토리소그래피 프로세스들 동안 에어 갭 개재(air gap intervention) 없이 포토레지스트 층에 자기장 및/또는 전기장을 인가하기 위한 장치 및 방법들이 개시된다. 일 실시예에서, 장치는 프로세싱 챔버를 포함하고, 프로세싱 챔버는: 기판 지지 표면을 갖는 기판 지지부; 기판 지지 표면 상에 포지셔닝되는 기판을 가열하도록 구성된, 기판 지지부 내에 매립된(embedded) 열 소스(heat source); 기판 지지 표면에 대해 실질적으로 수직인 방향으로 전기장을 발생시키도록 구성된 전극 어셈블리 ― 전극 어셈블리는 기판 지지 표면 맞은편에(opposite) 포지셔닝되어, 기판 지지 표면을 향하는 하향 표면(downward surface)을 가지며, 전극 어셈블리는 기판 지지부로부터 이격되어, 전극 어셈블리와 기판 지지 표면 사이에 프로세싱 볼륨(processing volume)을 정의함 ― ; 및 중간 매체(intermediate medium)를 유지하도록 구성되는, 전극 어셈블리 또는 기판 지지부의 엣지 상에 배치되는 컨파인먼트 링(confinement ring)을 포함한다.
[0009] 다른 실시예에서, 프로세싱 챔버는, 기판 지지 표면을 포함하는 기판 지지부; 기판 지지부 내에 배치되는 제 1 전극 및 기판 지지 표면 맞은편에 포지셔닝되는 제 2 전극을 포함하는 전극 어셈블리 ― 제 1 및 제 2 전극들은, 제 1 및 제 2 전극들 사이에 프로세싱 볼륨을 정의하고, 기판 지지 표면에 대해 실질적으로 수직인 방향으로 전기장을 발생시키도록 구성됨 ― ; 및 프로세싱 볼륨 내에 포지셔닝되는 중간 매체를 포함한다.
[0010] 또 다른 실시예에서, 기판을 프로세싱하는 방법은, 포토레지스트 층 내의 광산 발생제로부터 대전된 종(charged species)을 발생시키기 위해, 그리고 전자기 방사에 노출되지 않는, 포토레지스트 층의 부분들과 상이한 화학 특성들을 갖는, 포토레지스트 층 내에 실질적으로 평행한 재료 라인들을 형성하기 위해, 기판 상에 배치된 포토레지스트 층의 부분들을 전자기 방사에 노출시키는 단계; 공기에 대한 노출없이, 비-기상(non-gas phase) 중간 매체에 포토레지스트 층을 액침하는(immersing) 단계; 및 중간 매체에 포토레지스트 층을 액침하면서, 포토레지스트 층에 전기장을 인가하는 단계를 포함한다.
[0011] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0012] 도 1은 일 실시예에 따른, 기판을 프로세싱하기 위한 장치의 개략적인 단면도이다.
[0013] 도 2는 도 1의 장치의 전극 어셈블리의 실시예의 평면도이다.
[0014] 도 3은, 내부에 매립된 전극 어셈블리의 일 실시예를 갖는, 도 1의 장치의 기판 지지 어셈블리의 일 실시예의 개략적인 측단면도이다.
[0015] 도 4a-4b는, 본원에서 개시되는 실시예들에 따라 기판을 프로세싱하는 데에 사용될 수 있는 중간 매체의 표현들의 개략적인 예시이다.
[0016] 도 5a-5b는, 본원에서 개시되는 실시예들에 따라 기판을 프로세싱하는 데에 사용될 수 있는 중간 매체의 표현들의 개략적인 예시이다.
[0017] 도 6은 본원에서 개시되는 실시예들에 따라 기판을 프로세싱하는 데에 사용될 수 있는 하나의 대표적인 클러스터 프로세싱 시스템의 개략적인 예시이다.
[0018] 도 7은 일 실시예에 따른, 기판을 프로세싱하는 방법의 흐름도이다.
[0019] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 부가적으로, 일 실시예의 엘리먼트들은 본원에서 설명되는 다른 실시예들에서의 활용을 위해 유리하게 적응될 수 있다.
[0020] 반도체 어플리케이션들을 위한 포토리소그래피 프로세스에서 노광 해상도를 개선하고 라인 엣지/폭 거칠기를 최소화하기 위한 방법들 및 장치들이 제공된다. 본원에서 개시되는 방법들 및 장치들은 포토레지스트 감도 및 포토리소그래피 프로세스들의 생산성을 증가시킬 수 있다. 노광-후 베이크 절차 동안 광산 발생제에 의해 발생되는 대전된 종의 무작위 확산(random diffusion)은 감소된 레지스트 감도 및 라인 엣지/폭 거칠기에 기여한다. 전극 어셈블리가, 포토리소그래피 프로세스들 동안 포토레지스트 층에 전기장 및/또는 자기장을 인가하기 위해 활용될 수 있다. 필드 인가(field application)는 광산 발생제에 의해 발생되는 대전된 종의 확산을 제어할 수 있다. 또한, 중간 매체가 포토레지스트 층과 전극 어셈블리 사이에서 활용되어, 이들 사이에서 발생되는 전기장을 강화한다. 포토레지스트 층과 전극 어셈블리 사이에 정의되는 에어 갭은 전극 어셈블리에 전압 강하가 인가되도록 초래할 수 있으며, 따라서, 포토레지스트 층에 대해 발생되도록 요구되는 전기장의 레벨을 불리하게 낮출 수 있다. 포토레지스트 층에서의 전기장의 부정확한 레벨은, 특정의 요구되는 방향들로 포토레지스트 층에서 대전된 종을 생성하거나 드라이빙(drive)시키기 위한 불충분한 또는 부정확한 전압 전력을 초래할 수 있으며, 따라서, 포토레지스트 층에 대한 약화된(diminished) 라인 엣지 프로파일 제어를 이끌 수 있다. 따라서, 포토레지스트 층과 전극 어셈블리 사이에 중간 매체가 배치되어, 이들 사이에 에어 갭이 생성되는 것을 막음으로써, 포토레지스트 층과 상호작용하는 전기장의 레벨을 특정의 요구되는 레벨로 유지한다. 이렇게 함으로써, 전기장에 의해 발생되는 대전된 종은, 라인 및 간격(spacing) 방향을 따라서, 요구되는 방향으로 가이딩될 수 있으며, 그에 의해, 부정확한 그리고 무작위 확산으로부터 야기되는 라인 엣지/폭 거칠기를 막는다. 따라서, 발생되는 전기장의 제어되는 또는 요구되는 레벨은, 노광 및/또는 현상 프로세스에 대한 포토레지스트 층의 정확성 및 감도를 증가시킬 수 있다. 하나의 예에서, 중간 매체는, 전극 어셈블리로부터 기판 상에 배치된 포토레지스트 층으로 전달할 때, 인가되는 전압 레벨을 미리 결정된 범위로 효율적으로 유지할 수 있는 비-기상 매체, 이를 테면 슬러리, 겔(gel), 액체 용액(liquid solution) 또는 고상 매체일 수 있다.
[0021] 도 1은 일 실시예에 따른, 기판을 프로세싱하기 위한 장치의 개략적인 단면도이다. 도 1의 실시예에서 도시된 바와 같이, 장치는 진공 프로세싱 챔버(100)의 형태일 수 있다. 다른 실시예들에서, 프로세싱 챔버(100)는 진공 소스에 커플링되지 않을 수도 있다. 프로세싱 챔버(100)는 독립적인 프로세싱 챔버일 수 있다. 대안적으로, 프로세싱 챔버(100)는, 예를 들어, (하기에서 논의되는) 도 6에 도시된 트랙 프로세싱 시스템, 클러스터 프로세싱 시스템, 또는 인-라인 프로세싱 시스템과 같은 프로세싱 시스템의 일부(part)일 수 있다.
[0022] 프로세싱 챔버(100)는 챔버 벽들(102), 전극 어셈블리(116), 및 기판 지지 어셈블리(138)를 포함한다. 챔버 벽들(102)은 측벽들(106), 리드 어셈블리(lid assembly)(110) 및 바닥부(108)를 포함한다. 챔버 벽들(102)은 프로세싱 볼륨(processing volume)(112)을 적어도 부분적으로 둘러싼다. 프로세싱 볼륨(112)은, 프로세싱 챔버(100) 내로의 그리고 프로세싱 챔버(100)로부터의 기판(140)의 이동을 용이하게 하도록 구성된 기판 이송 포트(미도시)를 통해 액세스된다. 프로세싱 챔버(100)가 프로세싱 시스템의 일부인 실시예들에서, 기판 이송 포트는 기판(140)이 이송 챔버로 그리고 이송 챔버로부터 이송되도록 허용할 수 있다.
[0023] 프로세싱 볼륨(112)을 배기 포트에 커플링시키기 위해, 펌핑 포트(114)가 프로세싱 챔버(100)의 바닥부(108), 측벽들(106), 또는 리드 어셈블리(110) 중 하나를 통해 선택적으로 배치될 수 있다. 배기 포트는 펌핑 포트(114)를 다양한 진공 펌핑 컴포넌트들, 이를 테면 진공 펌프에 커플링시킨다. 펌핑 컴포넌트들은 프로세싱 볼륨(112)의 압력을 감소시킬 수 있고, 임의의 가스들 및/또는 프로세스 부산물들을 프로세싱 챔버(100) 밖으로 배기할 수 있다.
[0024] 기판 지지 어셈블리(138)가 프로세싱 챔버(100) 내에 중앙에 배치된다. 기판 지지 어셈블리(138)는 프로세싱 동안 기판(140)을 지지한다. 기판 지지 어셈블리(138)는, (도 3에서 설명되는) 선택적인 전극 어셈블리(118)를 인캡슐레이팅(encapsulate)하는 본체(124)를 포함할 수 있다. 본체(124)는, 예를 들어 금속, 이를 테면 알루미늄 또는 세라믹을 포함할 수 있다. 본체(124)가 금속을 포함하는 실시예들에서, 전극 어셈블리(118)는, 금속 본체(124)로부터 전극 어셈블리(118)를 절연시키는 절연 재료(미도시) 내에 인캡슐레이팅될 수 있다. 전극 어셈블리(118)는 전력 공급부(174)에 커플링될 수 있다. 다른 실시예들에서, 전극 어셈블리(118)는 접지에 커플링될 수 있다. 몇몇 실시예들에서, 전극 어셈블리(118)는 기판 지지 어셈블리(138)의 제 1 표면(134)에 의해 정의되는 x-y 평면에 대해 평행한 전기장을 발생시키도록 구성된다. 예를 들어, 전극 어셈블리(118)는, y 방향, x 방향, 또는 x-y 평면 내의 다른 방향 중 하나의 방향으로 전기장을 발생시키도록 구성될 수 있다. 다른 실시예들에서, 전극 어셈블리(118)는 기판 지지 어셈블리(138)의 제 1 표면(134)에 의해 정의되는 x-y 평면에 대해 수직인 전기장을 발생시키도록 구성된다.
[0025] 일반적으로, 기판 지지 어셈블리(138)는 제 1 표면(134) 및 제 2 표면(126)을 갖는다. 제 1 표면(134)은 제 2 표면(126) 맞은편에(opposite) 있다. 제 1 표면(134)은 기판(140)을 지지하도록 구성된다. 제 2 표면(126)에는 스템(stem)(142)이 커플링된다. 기판(140)이 기판 지지 어셈블리(138)의 제 1 표면(134) 상에 포지셔닝된다. 기판(140)은 임의의 타입의 기판, 이를 테면 유전체 기판, 유리 기판, 반도체 기판, 또는 전도성 기판일 수 있다. 기판(140)은 그 위에 배치된 층(145)을 가질 수 있다. 층(145)은 임의의 요구되는 층일 수 있다. 몇몇 실시예들에서, 기판(140)은 하나 초과의 층(145)을 가질 수 있다. 기판(140)은 또한, 층(145) 위에 배치된 포토레지스트 층(150)을 갖는다. 기판(140)은 포토리소그래피 프로세스의 노광 스테이지에서 전자기 방사에 이전에 노출되었다. 포토레지스트 층(150)은 노광 스테이지로부터 내부에 형성된 잠상 라인들(latent image lines)(155)을 갖는다. 잠상 라인들(155)은 실질적으로 평행할 수 있다. 다른 실시예들에서, 잠상 라인들(155)은 실질적으로 평행하지 않을 수도 있다.
[0026] 몇몇 실시예들에서, 기판 지지 어셈블리(138)는 정전 척일 수 있다. 몇몇 실시예들에서, 기판 지지 어셈블리(138)의 본체(124)는 매립된 가열기(embedded heater)(132)를 인캡슐레이팅할 수 있다. 매립된 가열기(132), 이를 테면 저항성 엘리먼트(resistive element)는 기판 지지 어셈블리(138) 내에 배치된다. 매립된 가열기(132)는, 기판 지지 어셈블리(138) 및 그 위에 포지셔닝된 기판(140)을 미리 결정된 온도로 제어가능하게 가열한다. 매립된 가열기(132)는, 기판(140)의 온도를 빠르게 램핑(ramp)하고 기판(140)의 온도를 제어하도록 구성된다. 몇몇 실시예들에서, 매립된 가열기(132)는 전력 공급부(174)에 연결되며 전력 공급부(174)에 의해 제어된다. 전력 공급부(174)는, 하기 논의되는 전력 공급부(170)와 유사하게 구성될 수 있다.
[0027] 몇몇 실시예들에서, 프로세싱 챔버(100)는 다른 가열 소스들을 포함할 수 있다. 예를 들어, 가열 램프(heat lamp)들이 프로세싱 챔버(100) 내에 또는 프로세싱 챔버(100) 바깥쪽에 포지셔닝될 수 있다. 몇몇 실시예들에서, 하나 또는 그 초과의 레이저들이, (도 2에 도시된) 전극 어셈블리(116)의 안테나들(220, 221) 또는 기판(140) 상에 포지셔닝된 포토레지스트 층(150) (또는 다른 층)을 가열하기 위해 사용될 수 있다. 몇몇 실시예들에서, 기판 지지 어셈블리(138)는, 기판 지지 어셈블리(138) 상에 포지셔닝된 기판(140)의 온도를 보다 빠르게 증가시키기 위해, 고 효율 열 전달 유체를 순환시키도록 구성될 수 있다.
[0028] 몇몇 실시예들에서, 기판 지지 어셈블리(138)는, 제 1 표면(134) (및 그 위에 포지셔닝된 기판(140))과 전극 어셈블리(116) 간에 상대 운동을 제공하도록 구성될 수 있다. 예를 들어, 기판 지지 어셈블리(138)는 z-축을 중심으로 회전하도록 구성될 수 있다. 기판 지지 어셈블리(138)는 연속적으로 또는 끊임없이 회전하도록 구성될 수 있거나, 또는 기판 지지 어셈블리(138)는 단차적 방식(step manner)으로 회전하도록 구성될 수 있다. 예를 들어, 기판 지지 어셈블리(138)는 미리 결정된 양, 이를 테면 90o, 180o, 또는 270o 회전할 수 있으며, 그런 다음, 회전은 미리 결정된 시간량 동안 중단될 수 있다. 미리 결정된 시간량 이후, 회전은 단차적 방식으로 또는 연속적인 방식으로 계속될 수 있다.
[0029] 기판 지지 어셈블리(138)는 수직으로 (즉) z-방향으로 움직이도록 구성될 수 있다. 기판 지지 어셈블리(138)는 전극 어셈블리(116)로부터 분리될 수 있다. 예를 들어, 기판 지지 어셈블리(138) 및 전극 어셈블리(116)는 적어도 약 0.1 mm의 거리 만큼 분리될 수 있다. 컨파인먼트 링(confinement ring)(154)이 기판 지지 어셈블리(138)의 엣지 상에 배치되어, 기판 지지 어셈블리(138)를 외접함(circumscribing)으로써, 전극 어셈블리(116)와 기판 지지 어셈블리(138)의 제 1 표면(134) 사이에 z-방향으로 거리(d)를 정의한다. 컨파인먼트 링(154)은, 기판 지지 어셈블리(138)의 제 1 표면(134) 상의 요구되는 장소(place)에 기판(140)을 포지셔닝된 상태로 유지하는 것을 도울 수 있다. 또한, 컨파인먼트 링(154)은, 포토레지스트 층(150) 위의 프로세싱 볼륨(112) 내에 포지셔닝되는, 중간 매체, 즉 비-기상 매체, 이를 테면 고체 슬러리, 겔 및/또는 액체 매체를 적소에(in place) 또한 한정시킬(confine) 수 있다. 하나의 예에서, 컨파인먼트 링(154)은, 중간 매체가 프로세싱 볼륨(112) 내에 배치될 때, 기판(140) 상에 배치된 포토레지스트 층(150)의 전체 표면을 커버하는 레벨로, 중간 매체, 예를 들어, 물질(substance)들 및/또는 액체 매체를 유지하기에 충분한, 길이, 즉, 약 0.5 mm 내지 약 10 mm의 정의되는 거리(d)를 가질 수 있다. 대안적으로, 컨파인먼트 링은, 요구되는 포지션으로 기판(140)을 가이딩하는 것을 돕기 위해, 전극 어셈블리(116)의 엣지 상에 배치되어, 기판 지지 어셈블리(138)의 엣지를 향해 아래쪽으로 연장할 수 있다. 하나의 예에서, 컨파인먼트 링(154)은, 프로세싱 볼륨(112) 내에 포지셔닝되는 중간 매체에 대해 화학적으로 불활성인, 세라믹 재료, 전도성 재료, 유전체 재료 또는 다른 적합한 재료로 제조될 수 있다.
[0030] 하나의 예에서, 중간 매체 분배 툴(intermediate medium dispensing tool)(173)이 챔버 벽들(102)을 통해 프로세싱 챔버(100) 내에 배치된다. 중간 매체 분배 툴(173)은, 중간 매체 분배 툴(173)에 커플링되는 노즐(171)을 포함하며, 노즐(171)은 기판(140) 위에 컨파인먼트 링(154)에 의해 한정되는 프로세싱 볼륨(112)에 중간 매체를 분배하도록 구성된다. 중간 매체 분배 툴(173)은 중간 매체 소스(172)에 커플링되며, 중간 매체 소스(172)는 프로세싱 볼륨(112)에 중간 매체를 제공한다. 중간 매체의 적합한 예들은 임의의 적합한 액체, 이를 테면 물, 유기 겔(organic gel), 수지, 무기 용액(inorganic solution), 무기 겔, 슬러리 등, 또는 기판(140)의 실질적으로 전체 표면을 커버하도록 쉽게 용해되고 이후 재응고(re-solidify)될 수 있는 임의의 고체 재료를 포함한다. 사용될 수 있는 중간 매체에 관한 상세사항들은 도 4a 내지 도 5b와 관련하여 이후 설명될 것이다.
[0031] 스템(142)은, (도시된 바와 같은) 상승된 프로세싱 포지션과 하강된 기판 이송 포지션 사이에서 기판 지지 어셈블리(138)를 이동시키기 위해 리프트 시스템(미도시)에 커플링된다. 리프트 시스템은 z-방향에서의 기판(140)의 포지션을 제어할 수 있다. 몇몇 실시예들에서, 리프트 시스템은 또한, x-방향, y-방향, 또는 x-방향 및 y-방향으로 기판(140)을 이동시키도록 구성될 수 있다. 스템(142)은 부가적으로, 기판 지지 어셈블리(138)와 프로세싱 챔버(100)의 다른 컴포넌트들 간에 전기적 그리고 열전쌍(thermocouple) 리드(lead)들을 위한 도관을 제공한다. 벨로우즈(146)가 기판 지지 어셈블리(138)에 커플링되어, 프로세싱 챔버(100) 바깥쪽의 대기와 프로세싱 볼륨(112) 간에 진공 밀봉(vacuum seal)을 제공하고, z-방향에서의 기판 지지 어셈블리(138)의 이동을 용이하게 한다.
[0032] 리드 어셈블리(110)는 유입구(inlet)(180)를 선택적으로 포함할 수 있으며, 유입구(180)를 통해, 공급 소스들(104)에 의해 제공되는 가스들이 프로세싱 챔버(100)에 들어갈 수 있다. 공급 소스들(104)은 선택적으로, 가스, 이를 테면 질소, 아르곤, 헬륨, 수소, 네온, 염소, 다른 가스들, 또는 이들의 조합들로 프로세싱 볼륨(112)을 제어가능하게 가압할 수 있다. 공급 소스들(104)로부터의 가스들은 프로세싱 챔버(100) 내에 제어된 환경을 생성할 수 있다. 다른 실시예들에서, 공급 소스들(104)로부터의 가스들은 플라즈마를 발생시키는 데에 사용될 수 있다. 예를 들어, 플라즈마는 원격 플라즈마 소스(160)에서 발생될 수 있다. 공급 소스들(104)은 공급 도관(105)을 통해 프로세싱 볼륨(112)에 직접적으로 커플링될 수 있다. 몇몇 실시예들에서, 이를 테면 도시된 바와 같이, 하나 또는 그 초과의 소스 화합물(source compound)들이 프로세싱 볼륨(112) 내로 간접적으로 유동할 수 있다. 도시된 바와 같이, 하나 또는 그 초과의 소스 화합물들은, 프로세싱 볼륨(112) 내로 유동하기 전에, 원격 플라즈마 소스(160)를 통해 먼저 유동한다.
[0033] 원격 플라즈마 소스(160)는, 대전된 종, 이를 테면 전자들을 프로세싱 볼륨(112) 내로 제공하도록 구성될 수 있다. 원격 플라즈마 소스는, 예를 들어, 용량적으로 커플링된 플라즈마 소스 또는 유도적으로 커플링된 소스일 수 있다. 원격 플라즈마 소스(160)는 전력 공급부(176)에 커플링된다. 전력 공급부(176)는 예를 들어, RF 전력 공급부일 수 있다. 전력 공급부(176)는 10 Hz 및 약 1 MHz, 이를 테면 약 5 kHz의 주파수에서 전력을 전달하도록 구성될 수 있다. 다른 실시예들에서, 전력 공급부(176)는 13.56 MHz에서 전력을 전달하도록 구성될 수 있다. 전력 공급부(176) 및 원격 플라즈마 소스(160)는 "소프트(soft)" 플라즈마를 발생시키도록 구성될 수 있다. 예를 들어, 발생되는 플라즈마는, 약 1 eV 내지 약 1000 eV, 이를 테면 약 5 eV 내지 약 50 eV 의 이온 에너지를 갖는 대전된 종을 포함할 수 있다. 몇몇 실시예들에서, 이온 에너지는 약 1 eV 내지 약 5 eV 일 수 있다. 소프트 플라즈마에서의 전자들은, 제 1 표면(134)의 평면에 대해 수직인 방향으로, 광산 발생제로부터 발생되는 (도 2a 및 도 2b에 도시된) 대전된 종(255)을 드라이빙(drive)시키기 위해 사용될 수 있다. 대전된 종을 z-방향으로 드라이빙시키게 되면, 레지스트 감도를 증가시킬 수 있다.
[0034] 300 mm 기판을 사용하는 대표적인 예에서, 소프트 플라즈마는 다음과 같이 발생될 수 있다. 가스 유동들은 기판 직경에 비례하여 스케일링될(scaled) 수 있는 것으로 고려된다. 수소 가스가 약 10 sccm 내지 약 1000 sccm의 유량으로 원격 플라즈마 소스(160) 내로 제공될 수 있다. 아르곤은, 약 1000 sccm 까지의 유량으로 원격 플라즈마 소스(160) 내로 선택적으로 유동될 수 있다. 약 400 W 내지 약 800 W의 RF 전력으로부터, 유도적으로 커플링된 원격 플라즈마 소스(160)에서 플라즈마가 발생될 수 있다. 프로세싱 볼륨(112)의 압력은 적어도 10-5 Torr 로 감소될 수 있다. 예를 들어, 압력은 약 10-6 Torr 내지 약 10-8 Torr 로 감소될 수 있다. 기판 지지 어셈블리(138)의 온도는, 필요에 따라, 실온 내지 약 200℃, 이를 테면 약 70℃ 내지 약 160℃, 예를 들어 약 90℃ 내지 140℃ 로 유지될 수 있다.
[0035] 액추에이터(190)가, 기판 지지 어셈블리(138)와 전극 어셈블리(116) 간에 상대 운동을 제공하기 위해, 전극 어셈블리(116)와 리드 어셈블리(110) 사이에 커플링될 수 있다. 액추에이터(190)는 x, y, 및 z 방향들 중 하나 또는 그 초과의 방향들로 전극 어셈블리(116)를 이동시키도록 구성될 수 있다. x 및 y 방향들은 본원에서 측(lateral) 방향들 또는 치수들로서 지칭된다. 액추에이터(190)는 전극 어셈블리(116)로 하여금 기판(140)의 표면을 스캐닝(scan)할 수 있게 한다. 액추에이터(190)는 또한, 거리(d)가 조정될 수 있게 한다. 몇몇 실시예들에서, 전극 어셈블리(116)는 고정된 스템(미도시)에 의해 리드 어셈블리(110)에 커플링된다. 몇몇 실시예들에서, 액추에이터(190)는 z-축을 중심으로 전극 어셈블리(116)를 회전시키도록 구성된다. 다른 실시예들에서, 전극 어셈블리(116)는, 프로세싱 챔버(100)의 바닥부(108)의 내부, 기판 지지 어셈블리(138)의 제 2 표면(126), 또는 스템(142)에 커플링될 수 있다.
[0036] 전극 어셈블리(116)는 하나 또는 그 초과의 전극들을 포함한다. 전극 어셈블리(116)는 전력 공급부(170)에 커플링된다. 전극 어셈블리(116)가 하나 초과의 전극을 포함하는 실시예들에서, 각각의 전극이 전력 공급부에 연결될 수 있다. 몇몇 실시예들에서, 전극 어셈블리(116)는, 기판 지지 어셈블리(138)의 제 1 표면(134)에 의해 정의되는 x-y 평면에 대해 평행한 전기장을 발생시키도록 구성된다. 예를 들어, 전극 어셈블리(116)는, y 방향, x 방향, 또는 x-y 평면 내의 다른 방향 중 하나의 방향으로 전기장을 발생시키도록 구성될 수 있다. 일 실시예에서, 전극 어셈블리(116)는 x-y 평면에서 그리고 잠상 라인들(155)의 방향으로 전기장을 발생시키도록 구성된다. 다른 실시예에서, 전극 어셈블리(116)는 x-y 평면에서 그리고 잠상 라인들(155)의 방향에 대해 수직으로 전기장을 발생시키도록 구성된다. 전극 어셈블리(116)는 부가적으로 또는 대안적으로, z-방향으로, 예를 들어, 제 1 표면(134)에 대해 수직으로 전기장을 발생시키도록 구성될 수 있다.
[0037] 전력 공급부(170) 및/또는 전력 공급부(174)는, 전극 어셈블리(116) 및/또는 전극 어셈블리(118)의 하나 또는 그 초과의 전극들에, 예를 들어, 약 500 V 내지 약 100 kV 를 공급하도록 구성될 수 있다. 몇몇 실시예들에서, 전력 공급부(170) 및/또는 전력 공급부(174)는 연속적(continuous) 또는 펄스형(pulsed) 직류(DC) 전력 공급부 또는 연속적 또는 펄스형 AC 전력 공급부일 수 있다. 펄스형 DC 파(wave) 또는 AC 파는 반파(half-wave) 정류기 또는 전파(full-wave) 정류기로부터 비롯될 수 있다. 전력 공급부(170) 및/또는 전력 공급부(174)는, 약 10 Hz 내지 약 1 MHz, 이를 테면 약 5 kHz의 주파수에서 전력을 제공하도록 구성될 수 있다. 펄스형 DC 전력 또는 AC 전력의 듀티 사이클은 약 5% 내지 약 95%, 이를 테면 약 20% 내지 약 60% 일 수 있다. 몇몇 실시예들에서, 펄스형 DC 전력 또는 AC 전력의 듀티 사이클은 약 20% 내지 약 40% 일 수 있다. 다른 실시예들에서, 펄스형 DC 전력 또는 AC 전력의 듀티 사이클은 약 60% 일 수 있다. 펄스형 DC 전력 또는 AC 전력의 상승 및 하강 시간은 약 1 ns 내지 약 1000 ns, 이를 테면 약 10 ns 내지 약 500 ns 일 수 있다. 다른 실시예들에서, 펄스형 DC 전력 또는 AC 전력의 상승 및 하강 시간은 약 10 ns 내지 약 100 ns 일 수 있다. 몇몇 실시예들에서, 펄스형 DC 전력 또는 AC 전력의 상승 및 하강 시간은 약 500 ns 일 수 있다. 몇몇 실시예들에서, 전력 공급부(170) 및/또는 전력 공급부(174)는 교류 전력 공급부이다. 다른 실시예들에서, 전력 공급부(170) 및/또는 전력 공급부(174)는 직류 전력 공급부이다.
[0038] 도시된 바와 같이, 전극 어셈블리(116)는, 대략, 기판 지지 어셈블리(138)의 폭에 걸쳐있다(span). 다른 실시예들에서, 전극 어셈블리(116)의 폭은 기판 지지 어셈블리(138)의 폭 보다 작을 수 있다. 예를 들어, 전극 어셈블리(116)는 기판 지지 어셈블리(138)의 폭의 약 10% 내지 약 80%, 이를 테면 약 20% 내지 약 40% 에 걸쳐있을 수 있다. 전극 어셈블리(116)의 폭이 기판 지지 어셈블리(138)의 폭 보다 더 짧은 실시예들에서, 액추에이터(190)는 기판 지지 어셈블리(138)의 제 1 표면(134) 상에 포지셔닝된 기판(140)의 표면에 걸쳐서 전극 어셈블리(116)를 스캐닝할 수 있다. 예를 들어, 액추에이터(190)는, 전극 어셈블리(116)가 기판(140)의 전체 표면을 스캐닝하도록, 스캐닝할 수 있다. 다른 실시예들에서, 액추에이터(190)는 기판(140)의 특정 부분들 만을 스캐닝할 수 있다. 대안적으로, 기판 지지 어셈블리(138)는 전극 어셈블리(116) 아래에서 스캐닝할 수 있다.
[0039] 몇몇 실시예들에서, 하나 또는 그 초과의 자석들(196)이 프로세싱 챔버(100)에 포지셔닝될 수 있다. 도 1에 도시된 실시예에서, 자석들(196)은 측벽들(106)의 내부 표면에 커플링된다. 다른 실시예들에서, 자석들(196)은 프로세싱 챔버(100) 바깥쪽에 또는 프로세싱 챔버(100) 내의 다른 위치들에 포지셔닝될 수 있다. 예를 들어, 자석들(196)은, 프로세싱 챔버(100) 내에서, 바닥부(108) 및/또는 리드 어셈블리(110) 근처에 포지셔닝될 수 있다. 자석들(196)은, 예를 들어, 영구 자석들 또는 전자석들일 수 있다. 대표적인 영구 자석들은 세라믹 자석들 및 희토류 자석들을 포함한다. 자석들(196)이 전자석들을 포함하는 실시예들에서, 자석들(196)은 전력 공급부(미도시)에 커플링될 수 있다. 자석들(196)은, 전극 어셈블리(116) 및/또는 전극 어셈블리(118)에 발생되는 전기장에 대하여, 평행한 방향, 수직인 방향, 또는 다른 방향으로 자기장을 발생시키도록 구성된다. 자석들(196)은 제 1 표면(134)에 걸쳐서, 약 0.1 테슬라(T) 내지 약 10 T, 이를 테면 약 1 T 내지 약 5 T의 필드 세기(field strength)를 발생시키도록 구성될 수 있다. 자기장을 포함하는 실시예들에서, 자석들(196)은 제 1 표면(134)에 대해 움직일 수 있거나 또는 정지된 채로 유지될 수 있다.
[0040] 도 2는 도 1의 전극 어셈블리(116)의 실시예들의 평면도이다. 도 2에 도시된 실시예에서, 전극 어셈블리(116)는 적어도 제 1 전극(258) 및 제 2 전극(260)을 포함한다. 제 1 전극(258)은 제 1 단자(210), 지지 구조(230), 및 하나 또는 그 초과의 안테나들(220)을 포함한다. 제 2 전극(260)은 제 2 단자(211), 지지 구조(230), 및 하나 또는 그 초과의 안테나들(221)을 포함한다. 제 1 전극(258)의 제 1 단자(210), 지지 구조(230), 및 하나 또는 그 초과의 안테나들(220)은 단일 본체(unitary body)를 형성할 수 있다. 대안적으로, 제 1 전극(258)은, 함께 커플링될 수 있는 개별적인 부분들을 포함할 수 있다. 예를 들어, 하나 또는 그 초과의 안테나들(220)은 지지 구조(230)로부터 분리가능할 수 있다. 제 2 전극(260)은 유사하게, 단일 본체일 수 있거나, 또는 개별적인 분리가능한 컴포넌트들로 구성될 수 있다. 제 1 전극(258) 및 제 2 전극(260)은 임의의 적합한 방법들에 의해 준비될 수 있다. 예를 들어, 제 1 전극(258) 및 제 2 전극(260)은 머시닝(machining), 주조(casting), 또는 적층 가공(additive manufacturing)에 의해 제조될 수 있다.
[0041] 지지 구조(230)는 전도성 재료, 이를 테면 금속으로 제조될 수 있다. 예를 들어, 지지 구조(230)는, 실리콘, 폴리실리콘, 실리콘 탄화물, 몰리브덴, 알루미늄, 구리, 그라파이트, 은, 백금, 금, 팔라듐, 아연, 다른 재료들, 또는 이들의 혼합물들 중 하나 또는 그 초과로 제조될 수 있다. 지지 구조(230)는 임의의 요구되는 치수들을 가질 수 있다. 예를 들어, 지지 구조(230)의 길이(LS)는 약 25 mm 내지 약 450 mm, 예를 들어, 약 100 mm 내지 약 300 mm 일 수 있다. 몇몇 실시예들에서, 지지 구조(230)는 표준 반도체 기판의 직경과 거의 같은 길이(LS)를 갖는다. 다른 실시예들에서, 지지 구조(230)는 표준 반도체 기판의 직경 보다 더 크거나 또는 더 작은 길이(LS)를 갖는다. 예를 들어, 상이한 대표적인 실시예들에서, 지지 구조(230)의 길이(LS)는 약 25 mm, 약 51 mm, 약 76 mm, 약 100 mm, 약 150 mm, 약 200 mm, 약 300 mm, 또는 약 450 mm 일 수 있다. 지지 구조(230)의 폭(WS)은 약 2 mm 내지 약 25 mm 일 수 있다. 다른 실시예들에서, 지지 구조(230)의 폭(WS)은 약 2 mm 미만 또는 약 25 mm 초과이다. 지지 구조(230)의 두께는 약 1 mm 내지 약 10 mm, 이를 테면 약 2 mm 내지 약 8 mm, 이를 테면 약 5 mm 일 수 있다. 다른 실시예들에서, 지지 구조는 약 1 mm 미만 또는 약 10 mm 초과의 두께를 가질 수 있다. 몇몇 실시예들에서, 지지 구조(230)는, 정사각형, 원통형, 직사각형, 타원형, 로드(rod)들, 또는 다른 형상들의 단면을 가질 수 있다. 원형 외부 표면들을 갖는 실시예들이 아킹(arcing)을 피할 수 있다.
[0042] 지지 구조(231)는 지지 구조(230)와 동일한 재료로 제조될 수 있다. 지지 구조(230) 및 지지 구조(231)는 서로 상이한 재료들로 제조된다. 지지 구조(230) 및 지지 구조(231)의 길이들(LS), 폭들(WS) 및 두께들은 동일하거나 또는 상이할 수 있다. 제 1 전극(258)의 하나 또는 그 초과의 안테나들(220) 또한, 전도성 재료로 제조될 수 있다. 하나 또는 그 초과의 안테나들(220)은 지지 구조(230)와 동일한 재료들로 제조될 수 있다. 안테나들(220) 각각은 동일한 치수들을 가질 수 있다. 대안적으로, 하나 또는 그 초과의 안테나들(220) 중 몇몇은 나머지 안테나들(220) 중 하나 또는 그 초과와 상이한 치수들을 가질 수 있다. 안테나들(221)은 안테나들(220)과 동일한 범위(range)의 재료들로 제조될 수 있다. 안테나들(220)에 대해 적합한 치수들의 범위는 안테나들(221)에 대해서도 또한 적합하다.
[0043] 안테나들(220)의 개수는 약 1개 내지 약 40개의 안테나들일 수 있다. 예를 들어, 안테나들(220)의 개수는 약 4개 내지 약 40개, 이를 테면 약 10개 내지 약 20개를 포함할 수 있다. 안테나들(220) 각각은 나머지 안테나들(220) 각각에 대해 실질적으로 평행할 수 있다. 안테나들(221) 각각은 지지 구조(231) 및 각각의 다른 안테나(221)에 대해 유사하게 포지셔닝될 수 있다. 하나의 예에서, 지지 구조(230) 및 지지 구조(231)는 일직선(straight) 이다. 다른 예에서, 지지 구조(230) 및 지지 구조(231)는 일직선이 아닐 수 있는데, 이를 테면 곡선이거나, 들쭉날쭉하거나(jagged), 또는 다른 프로파일들 또는 형상들을 가질 수 있다. 이러한 실시예들에서, 안테나들(220) 각각은 나머지 안테나들(220) 각각에 대해 여전히 실질적으로 평행할 수 있다.
[0044] 안테나들(220) 각각은 단자 단부(223)를 갖는다. 안테나들(221) 각각은 단자 단부(225)를 갖는다. 거리(C)가 지지 구조(230)와 단자 단부(225) 사이에 정의된다. 거리(C')가 지지 구조(231)와 단자 단부(223) 사이에 정의된다. 거리들(C 및 C') 각각은 약 1 mm 내지 약 10 mm 일 수 있다. 거리(A)가, 안테나들(221) 중 하나의 안테나와 안테나들(221) 중 인접하는 안테나의 대면 표면들 사이에 정의된다. 거리(A')가, 안테나들(220) 중 하나의 안테나와 안테나들(220) 중 인접하는 안테나의 대면 표면들 사이에 정의된다. 거리들(A 및 A')는 약 6 mm 초과일 수 있다. 거리(B)가, 안테나들(220) 중 하나의 안테나와 안테나들(221) 중 인접하는 안테나의 대면 표면들 사이에 정의된다. 거리(B)는, 예를 들어 약 1 mm 초과일 수 있다. 안테나(220)와 인접 안테나 사이에서 발생되는 전기장의 세기는 거리(B)와 상관된다. 예를 들어, 더 작은 거리(B)는 더 강한 전기장과 상관된다. 따라서, 더 강한 전기장이 요구되는 실시예들에서는, 더 작은 거리(B)가 유익할 수 있다.
[0045] 동작시, 전력 공급부(170)가 제 1 단자(210)에 전압을 공급할 수 있고 그리고/또는 전력 공급부(170')가 제 2 단자(211)에 전압을 제공할 수 있다. 전력 공급부(170')는 전력 공급부(170)와 실질적으로 유사할 수 있다. 공급되는 전압은, 하나 또는 그 초과의 안테나들(220) 중의 각각의 안테나와 하나 또는 그 초과의 안테나들(221) 중의 각각의 안테나 사이에 전기장을 생성한다. 전기장은, 하나 또는 그 초과의 안테나들(220) 중의 하나의 안테나와 하나 또는 그 초과의 안테나들(221) 중의 인접하는 안테나 사이에서 가장 강할 것이다. 안테나들(220, 221)의 인터리빙되고(interleaved) 정렬된(aligned) 공간적인 관계는, 제 1 표면(134)에 의해 정의되는 평면에 대해 평행한 방향으로 전기장을 생성한다. 기판(140)은 제 1 표면(134) 상에 포지셔닝되며, 그에 따라, 잠상 라인들(155)은 전극 어셈블리(116)에 의해 발생되는 전기장 라인들에 대해 평행하다. 대전된 종(255)은 대전되기 때문에, 이러한 대전된 종(255)은 전기장에 의해 영향을 받는다. 전기장은, 포토레지스트 층(150) 내의 광산 발생제들에 의해 발생되는 대전된 종(255)을 전기장의 방향으로 드라이빙시킨다. 대전된 종(255)을 잠상 라인들(155)과 평행한 방향으로 드라이빙시킴으로써, 라인 엣지 거칠기가 감소될 수 있다. 균일한 방향성 이동은 양두(double headed) 화살표(270)에 의해 도시된다. 대조적으로, 제 1 단자(210) 또는 제 2 단자(211)에 전압이 인가되지 않는 경우에는, 대전된 종(255)을 임의의 특정 방향으로 드라이빙시키기 위한 전기장이 생성되지 않는다. 결과적으로, 대전된 종(255)은 화살표들(270')에 의해 도시된 바와 같이, 무작위로 움직일 것이다. 다른 실시예들에서, 기판(140)은 안테나들(220, 221)에 대해 다르게 지향될(oriented) 수 있다. 예를 들어, 안테나들(220, 221)은 잠상 라인들(155)에 대해 평행할 수 있다.
[0046] 도 3은, 내부에 매립된 전극 어셈블리(118)의 일 실시예를 갖는, 도 1의 기판 지지 어셈블리(138)의 일 실시예의 개략적인 측단면도이다. 전극 어셈블리(118)는 본체(124)의 제 1 표면(134)과 제 2 표면(126) 사이에 매립된다. 전극 어셈블리(118)는 제 1 표면(334) 및 제 2 표면(326)을 갖는다. 제 1 표면(334) 및 제 2 표면(326)은 서로 맞은편에 있으며, 기판 지지 어셈블리의 제 1 표면(134)에 대해 실질적으로 평행하다. 전극 어셈블리(118)의 제 1 표면(334)은, 제 2 표면(326) 보다, 기판 지지 어셈블리(138)의 제 1 표면(134)에 더 가깝다. 거리(D)는, 전극 어셈블리의 제 1 표면(334)으로부터 기판 지지 어셈블리(138)의 제 1 표면(134)를 분리시키는 거리를 나타낸다. 거리(D)는 약 0.1 mm 내지 약 100 mm 일 수 있다. 예를 들어, 거리(D)는 약 8 mm 내지 약 14 mm 일 수 있다. 거리(D)는, 전극 어셈블리(118)에 의해 제 1 표면(134) 및/또는 포토레지스트 층(150)에 제공되는 전기장의 세기를 제어할 수 있다. 전기장의 세기는 대전된 종(255)의 확산 레이트(rate)를 제어한다.
[0047] 기판 지지 어셈블리(138)는 외측 측면 표면들(348)을 갖는다. 전극 어셈블리(118)는 외측 측면 표면들(328)을 갖는다. 거리(E)는, 외측 측면 표면들(328)과 외측 측면 표면들(348) 사이의 림(rim)을 나타낸다. 거리(E)는, 예를 들어, 거리(D)에 적합한 임의의 거리일 수 있다. 거리(E)는 전극 어셈블리(118) 둘레에서 일정할 수 있거나, 또는 거리(E)는 달라질 수 있다. 전극 어셈블리(118)의 두께는 거리(TA)에 의해 표현된다. 거리(TA)는, 상기 논의된 안테나들(220, 221)에 대한 임의의 적합한 두께일 수 있다. 도시된 바와 같이, 전극 어셈블리(118)는 전력 공급부(174)에 커플링된다. 전력 공급부(174)에 의해 전극 어셈블리(118)에 공급되는 전력의 특성들은, 도 1의 전력 공급부(170)와 관련하여 상기 설명된 바와 같을 수 있다.
[0048] 도 4a는 기판 지지 어셈블리(138)의 하나의 예를 도시하는 바, 프로세싱 볼륨(112) 내에 배치되며 컨파인먼트 링(154)에 의해 기판(140) 위에 유지되는 중간 매체(402)를 갖는다. 프로세싱 볼륨(112) 내에 포지셔닝되는 중간 매체(402)가 없으면, 전형적으로, 기판(140)과 전극 어셈블리(116) 사이의 프로세싱 볼륨(112) 내에 에어 갭이 정의된다. 프로세싱 볼륨(112) 내에 정의되는 에어 갭의 치수는, 전극 어셈블리(116)가 기판(140)에 대해 근접하게 포지셔닝되는 거리에 의해 결정될 수 있다. 예를 들어, 전극 어셈블리(116)가, 기판(140) 상에 배치된 포토레지스트 층(150)에 가깝게 포지셔닝되는 경우, 보다 작은 치수의 에어 갭이 프로세싱 볼륨(112) 내에 정의될 수 있다. 대조적으로, 전극 어셈블리(116)가 기판(140)으로부터 비교적 멀리 포지셔닝되고 멀리 떨어져있는(distanced) 경우, 보다 큰 치수의 에어 갭이 프로세싱 볼륨(112) 내에 정의될 수 있다.
[0049] 프로세싱 볼륨(112) 내에 형성되는 공기 매체(air medium)(즉, 에어 갭)은, 전극 어셈블리들(116, 118)에 전압 전력이 인가되는 경우 전압 강하를 불리하게 초래할 수 있는 것으로 여겨진다. 기판(140)에 대해 전기장을 발생시키기 위해 전극 어셈블리(116)에 전압이 공급될 때, 기판(140)에서의 유전 상수와 공기에서의 유전 상수가 매우 다르기 때문에, 예를 들어, 기판(140)에 대해서는 대략 11.7 이고 공기에 대해서는 1 이기 때문에, 전압이, 기판(140) 상에 배치된 포토레지스트 층(150)에 도달하기 전에, 프로세싱 볼륨(112) 내에 형성된 에어 갭을 통해 전달되는 경우, 상당한 전압 강하가 종종 관찰된다. 공기에서의 낮은 유전 상수, 예를 들어, 1의 유전 상수는, 전극 어셈블리(116)로부터 인가되는 전압 레벨을 상당히 변화시키는 것으로 여겨진다. 따라서, 프로세싱 볼륨(112) 내에 정의되는 에어 갭을 교체하기 위해, 이를 테면 10 초과와 같은 비교적 높은 유전 상수를 갖는 재료를 삽입함으로써, 그 내에서 전기장을 형성하기 위해 인가되는 전압은, 기판(140) 상에 배치된 포토레지스트 층(150)에 도달할 때 까지, 상당한 손실없이 요구되는 레벨로 유지될 수 있다. 하나의 예에서, 프로세싱 볼륨 내에 배치되는 중간 매체(402)는, 액체 용액, 이를 테면 탈이온수(DI water), 유기 겔, 무기 용액, 또는 그것을 통해 전달되는 전압 레벨을 상당한 전압 강하 없이 유지하는 것을 도울 수 있는 높은 유전 상수를 갖는 다른 적합한 매체일 수 있다. 하나의 예에서, 탈이온수, 예를 들어, 약 80의 유전 상수를 갖는 재료가, 컨파인먼트 링(154)에 의해 한정되는, 기판 지지 어셈블리(138) 위의 프로세싱 볼륨(112) 내에 배치되고 놓여진다.
[0050] 하나의 예에서, 중간 매체(402)는, 프로세싱 볼륨(112)을 실질적으로 채우기(fill) 위해, 중간 매체 소스(172)로부터 중간 매체 분배 툴(173)을 통해 공급될 수 있다. 프로세싱 볼륨(112) 내에 배치되는 중간 매체(402)는 전극 어셈블리(116)의 하향 표면(406)에 매우 근접하게 인터페이스(404)를 생성할 수 있다. 중간 매체 소스(172)가 프로세싱 볼륨(112)에 채워진 이후, 전극 어셈블리(116)는, 전극 어셈블리(116)와 중간 매체 소스(172) 간에 최소의 또는 무시가능한 정도의 거리(407)를 유지하기 위해 아래로 낮춰질 수 있다. 이렇게 함으로써, 낮은 유전 상수의 에어 갭에 의해 야기되는 전압 강하의 가능성이 대부분 제거될 수 있다.
[0051] 도 4b에 도시된 바와 같이, 탈이온수 이외의 다른 재료들이 또한, 컨파인먼트 링(154)에 의해 한정되는 프로세싱 볼륨(112)의 영역에 채워질 중간 매체 소스(172)로서 활용될 수 있다. 도 4b에 도시된 예에서, 9 초과의 유전 상수를 갖는 겔 또는 유동성(flowable) 유기 드롭렛(organic droplet)들(410)이 또한, 프로세싱 볼륨(112)을 채우도록 사용될 수 있다. 겔 또는 유동성 유기 드롭렛들(410)은, 겔 또는 유동성 유기 드롭렛들(410)의 인터페이스(412)가, 에어 갭에 대한 최소한의 그리고/또는 무시할 정도의 공간(room)을 가지면서 전극 어셈블리(116)의 하향 표면(406)에 매우 근접하게 정의될 때 까지, 기판(140)에 대해 스핀온될(spun-on) 수 있다. 컨파인먼트 링(154)에 의해 한정되는, 기판 지지 어셈블리(138) 위에 정의되는 프로세싱 볼륨(112)을 채우기 위해 필요한 겔 또는 유동성 유기 드롭렛들(410)의 양은, 그러한 컴포넌트들의 기하형상(geometry)에 의존한다. 기판 위의 프로세싱 볼륨(112)이, 기판(140)의 표면을 접촉하는 요구되지 않는 에어 갭을 남기지 않으면서, 실질적으로 가득찰 때 까지, 겔 또는 유동성 유기 드롭렛들(410)은 연속적으로 부가될 수 있음이 주목된다.
[0052] 도 5a는 전극 어셈블리(116)의 다른 실시예를 도시하는 바, 전극 어셈블리(116)의 하향 표면(406)으로부터 전극 어셈블리(116)의 엣지 상에 배치되는 컨파인먼트 링(502)을 갖는다. 액체 매체 대신에, 고상 매체(504)가, 컨파인먼트 링(502)에 의해 한정되는 구역(area) 내에서 전극 어셈블리(116)의 하향 표면(406) 아래에 포지셔닝되어 하향 표면(406)과 접촉하도록 활용될 수 있다. 고상 매체(504)가 적소에 있게 된 이후, 도 5b에 도시된 바와 같이, 전극 어셈블리(116)는 그런 다음, 기판(140) 상에 배치된 포토레지스트 층(150)과 고상 매체(504)가 접촉하도록 액추에이터(190)에 의해 아래로 낮춰질 수 있다. 예를 들어, 요구되는 유전 상수를 갖는, 고상 매체(504)의 품질들 및 특성들을 신중하게 선택함으로써, 고상 매체(504)는, 기판 지지 어셈블리(138)에 배치되는 전극 어셈블리(118)와 전극 어셈블리(116) 간에 우수한 매체(good medium)의 역할을 하여, 이러한 전극 어셈블리들에 인가되는 전압 레벨을 전기장 발생을 위해 요구되는 범위로 유지한다. 하나의 예에서, 고상 매체(504)는, 기판(140) 상에 배치된 포토레지스트 층(150)의 전체 표면을 커버할 수 있는 크기를 갖는 고체 디스크(solid disk)일 수 있다. 고상 매체(504)는, 기계적 본딩 또는 화학적 본딩을 포함하는 임의의 적합한 기법들에 의해 전극 어셈블리(116)에 부착될 수 있다. 포토레지스트 층(150) 위에 포지셔닝되는 경우, 고상 매체(504)는, 에어 갭에 대한 최소한의 그리고/또는 무시할 정도의 공간을 가지면서, 포토레지스트 층(150)에 매우 근접하게, 컨파인먼트 링(502)에 의해 한정되는 포지션에 배치될 수 있다. 본원에서 설명되는 바와 같은 "무시할 정도의 공간(negligible room)"이라는 어구는, 치수가 10 마이크로미터 미만의 공간일 수 있음을 주목한다. 하나의 예에서, 고상 매체(504)는 10 초과의 높은 유전 상수를 갖는 재료로 제조될 수 있다. 고상 매체(504)의 적합한 예들은 석영 또는 TiO2를 포함한다.
[0053] 도 6은 본원에서 개시되는 실시예들에 따라, 기판을 프로세싱하기 위해 사용될 수 있는 하나의 대표적인 프로세싱 시스템(600)을 도시한다. 도시된 바와 같이, 프로세싱 시스템(600)은 로드 포트(load port)(610), 코팅 챔버(620), 프로세싱 챔버(100), 노광 챔버(630)(이를 테면, 스캐너(scanner)), 제 2 프로세싱 챔버(100), 현상 챔버(640), 및 포스트-프로세싱 챔버(post-processing chamber)(650)를 포함한다. 프로세싱 시스템(600)의 각각의 챔버는, 이송 챔버(605) 또는 이송 챔버(615)에 의해 각각의 인접하는 챔버에 커플링된다. 이송 챔버들(605) 및 이송 챔버(615)는 실질적으로 유사하거나 또는 상이할 수 있다.
[0054] 로드 포트(610)는 프로세싱 시스템(600) 내로 기판들을 도입하거나 또는 프로세싱 시스템(600)으로부터 기판들을 제거하기 위해 사용될 수 있다. 코팅 챔버(620)는, 예를 들어, 기판에 포토레지스트를 적용하기(apply) 위해 사용될 수 있다. 코팅 챔버(620)는, 예를 들어, 스핀 코터(spin coater)일 수 있다. 노광 챔버(630)는, 기판 상의 포토레지스트 층에 산 잠상을 형성하기 위해, 기판을 전자기 에너지에 노출시키기 위해 사용될 수 있다. 현상 챔버(640)는, 예를 들어, 포토레지스트 층의 부분들을 제거하기 위해 사용될 수 있다. 포스트-프로세싱 챔버(650)는, 예를 들어, 기판 상에서 여러가지 포스트-프로세싱 단계들을 수행하기 위해 사용될 수 있다. 프로세싱 챔버(100)는, 노광-전 베이크, 노광-후 베이크, 및/또는 다른 프로세싱 단계들을 위해 사용될 수 있다. 상기 설명된 바와 같이, 프로세싱 챔버(100)는 하나 또는 그 초과의 전극 어셈블리들(118), 원격 플라즈마 소스(160), 및 자석들(196)을 포함할 수 있다. 하지만, 코팅 챔버(620), 노광 챔버(630), 및 현상 챔버(640) 또한 유사하게 장비될(equipped) 수 있음을 이해해야 한다.
[0055] 도 7은 기판(140)과 같은 기판을 프로세싱하기 위한 대표적인 방법(700)의 흐름도이다. 기판(140)을 프로세싱하기 위한 방법(700)은 다수의 스테이지들을 갖는다. 이러한 스테이지들은, (문맥상 그 가능성을 배제하는 경우를 제외하고) 동시에 또는 임의의 순서로 수행될 수 있으며, 그리고 방법은, (문맥상 그 가능성을 배제하는 경우를 제외하고) 정의된 스테이지들 중 임의의 스테이지 이전에, 정의된 스테이지들 중 2개의 스테이지들 사이에서, 또는 정의된 모든 스테이지들 이후에 수행되는 하나 또는 그 초과의 다른 스테이지들을 포함할 수 있다. 반드시 모든 실시예들이 모든 스테이지들을 포함할 수 있는 것은 아니다.
[0056] 일반적으로, 방법(700)은, 동작(710)에서, 광산 발생제를 포함하는 포토레지스트를 기판(140)에 적용함으로써 시작된다. 동작(710)에서, 포토레지스트 층(150)을 형성하기 위해, 포토레지스트가 기판(140)에 적용된다. 포토레지스트 층(150)은, 예를 들어, 프로세싱 시스템(600)에 포함되는 코팅 챔버(620)와 같은 스핀 코팅 장치 내에서 스핀 코팅에 의해 적용될 수 있다. 그러한 실시예에서, 기판(140)은 로드 포트(610)를 통해 프로세싱 시스템(600)에 들어갈 수 있고, 이후, 이송 챔버(605)를 통해 코팅 챔버(620)로 이송될 수 있다.
[0057] 포토레지스트는 용제, 포토레지스트 수지, 및 광산 발생제를 포함할 수 있다. 포토레지스트 수지는 임의의 포지티브 포토레지스트 수지 또는 임의의 네거티브 포토레지스트 수지일 수 있다. 대표적인 포토레지스트 수지들은 아크릴레이트(acrylate)들, 노볼락 수지(Novolac resin)들, 폴리(메틸메타크릴레이트들), 및 폴리(올레핀 술폰들)을 포함한다. 다른 포토레지스트 수지들이 또한 사용될 수 있다.
[0058] 포토레지스트 층(150)이 전자기 방사에 노출되기 전에, 광산 발생제는 대전된 종(255), 이를 테면 산성(acid) 양이온 및 음이온을 발생시킨다. 광산 발생제는 또한, 분극화된 종(polarized species)을 발생시킬 수 있다. 광산 발생제는 수지를 전자기 방사에 대해 민감하게 한다. 대표적인 광산 발생제들은, 예를 들어, 술폰화된 염(sulfonated salt)들, 술폰화된 에스테르(sulfonated ester)들, 및 술포닉옥시 케톤(sulfonyloxy ketone)들과 같은, 술폰산염 화합물(sulfonate compound)들을 포함한다. 다른 적합한 광산 발생제들은 오늄 염(onium salt)들, 이를 테면 아릴-디아조늄 염(aryl-diazonium salt)들, 할로늄 염(halonium salt)들, 방향족 술포늄 염(aromatic sulfonium salt)들 및 술폭소늄 염(sulfoxonium salt)들 또는 셀레늄 염(selenium salt)들을 포함한다. 다른 대표적인 광산 발생제들은 니트로벤질 에스테르(nitrobenzyl ester)들, s-트리아진 유도체(s-triazine derivative)들, 이온성 요오도늄 술폰산염(ionic iodonium sulfonate)들, 퍼플루오로알칸술폰산염(perfluoroalkanesulfonate)들, 아릴 트리플레이트(aryl triflate)들 및 그 유도체들 및 유사체(analog)들, 피로갈롤(pyrogallol) 유도체들, 및 알킬 디술폰(alkyl disulfone)들을 포함한다. 다른 광산 발생제들이 또한 사용될 수 있다.
[0059] 동작(720)에서, 기판(140)은 이후, 노광-전 베이킹 프로세스에 의해 가열된다. 노광-전 베이킹 프로세스 동안, 기판은 포토레지스트 용제들을 부분적으로 증발시키기(evaporate) 위해 가열된다. 동작(720)에서의 노광-전 베이크 및 동작(710)에서의 포토레지스트 적용은 동일한 챔버에서 또는 상이한 챔버들에서 일어날 수 있다. 예를 들어, 동작들(710, 720) 양자 모두가 스핀 코터에서 일어날 수 있거나, 또는 기판(140)이, 상이한 프로세싱 챔버로 이송될 수 있다. 예를 들어, 프로세싱 시스템(600)을 사용하는 실시예에서, 기판(140)은 코팅 챔버(620)로부터 이송 챔버(605)를 통해 프로세싱 챔버(100)로 이송될 수 있다.
[0060] 동작(730)에서, 기판(140)은 이후, 리소그래픽 노광 프로세스를 위해 기판(140)을 전자기 방사에 노출시키기 위해, 노광 챔버(630)로 이송된다. 기판(140) 및 포토레지스트 층(150)의 부분들은 전자기 방사에 노출된다. 노광 동안, 포토레지스트 층(150)의 부분들은 선택적으로 노광되고, 포토레지스트 층(150)의 부분들은 선택적으로 노광되지 않는다. 전자기 방사에 노출되는, 포토레지스트 층(150)의 부분들은, 전자기 방사에 노출되지 않는, 포토레지스트 층(150)의 부분들과 상이한 화학 특성들을 가질 수 있다. 광산 발생제에 의해 발생되는 대전된 종(255)은 레지스트 수지 내에 산 잠상을 초래한다. 몇몇 실시예들에서, 포토마스크 또는 레티클이 포토레지스트 층(150) 사이에 포지셔닝될 수 있으며, 그리고 포토레지스트 층(150)은 그러한 마스크 또는 레티클을 통해 전자기 방사에 노출될 수 있다. 마스크 또는 레티클은 라인들을 포함하는 패턴을 포토레지스트 층(150)에 전사시키도록 구성될 수 있다. 다른 실시예들에서, 라인들을 포함하는 패턴은, 마스크리스 리소그래피 기법들을 사용하여 포토레지스트 층(150)에 전사될 수 있다. 전사되는 잠상 라인들(155)은 임의의 요구되는 길이, 폭, 및 잠상 라인들(155) 간의 간격을 가질 수 있다. 예를 들어, 몇몇 실시예들에서, 라인 폭들 및 라인 간격들은 약 10 nm 내지 약 16 nm 일 수 있다. 다른 실시예들에서, 라인 폭들 및 간격들은 약 10 nm 미만 또는 약 16 nm 초과일 수 있다. 몇몇 실시예들에서, 잠상 라인(155)의 길이는 잠상 라인(155)의 폭의 약 150% 이다. 다른 실시예들에서, 잠상 라인(155)의 길이는 잠상 라인(155)의 폭의 약 200% 초과, 예를 들어, 예를 들어, 잠상 라인(155)의 폭의 약 1000% 초과이다.
[0061] 전자기 방사는 일반적으로, 포토레지스트 층(150)을 노광시키기에 적합한 파장을 갖는다. 예를 들어, 전자기 방사는 EUV(extreme ultra violet) 범위에서의 파장, 이를 테면 약 10 nm 내지 약 124 nm를 가질 수 있다. 다른 실시예들에서, 전자기 방사는 아르곤 플루오라이드 레이저(argon fluoride laser)에 의해 발생될 수 있다. 그러한 실시예에서, 전자기 방사는 약 193 nm의 파장을 가질 수 있다. 몇몇 실시예들에서, 파장은 248 nm 일 수 있다. 다른 실시예들은 상이한 파장들을 사용할 수 있다. 몇몇 실시예들에서, 전자기 방사는 전자 빔 또는 이온 빔으로부터 비롯된다.
[0062] 노광 이후, 동작(740)에서, 기판(140)은, 동작(740)에서 전자기 방사 하에 노출된 필름 특성들을 변화시키기 위한 노광-후 베이킹 프로세스를 위해 노광-후 베이크 스테이지에서 가열된다. 기판(140)은 노광-후 베이킹 프로세스를 위해, 노광 챔버(630)로부터 이송 챔버(615)를 통해 프로세싱 챔버(100)로 이송될 수 있다. 기판(140)은 기판 지지 어셈블리(138)의 제 1 표면(134) 상에 포지셔닝될 수 있다. 전력 공급부(174)는, 기판(140)을 가열하기 위해, 매립된 가열기(132)에 전력을 제공할 수 있다. 매립된 가열기(132)는 기판(140) 및 포토레지스트 층(150)을 빠르게 가열할 수 있다. 예를 들어, 매립된 가열기(132)는, 포토레지스트 층(150)의 온도를, 약 2초 미만 동안, 주위 온도로부터 약 70℃ 내지 약 160℃, 이를 테면 약 90℃ 내지 140℃로 올릴 수 있다.
[0063] 동작(740)에서의 노광-후 베이크 동안, 포토레지스트 층(150)에서의 광산 발생제들은 포토레지스트 층(150)의 노출된 부분들의 화학 특성들을 계속해서 변화시킬 수 있다. 베이킹 프로세스에 부가하여, 동작(740)에서 노광-후 베이킹 프로세스를 수행하면서, 동작(701)에서 설명되는 바와 같이, 포토레지스트 층(150)에 대해 전기장이 발생될 수 있다. 전극 어셈블리(116) 및/또는 전극 어셈블리(118) 사이에 전기장을 인가하는 동안, 대전된 종(255)은, 전기장, 자기장, 및 플라즈마 중 적어도 하나에 의해, 요구되는 방향으로 가이딩될 수 있다. 자기장은, 예를 들어, 자석들(196)에 의해 발생될 수 있다. 플라즈마는, 예를 들어, 원격 플라즈마 소스(160)에 의해 발생될 수 있다. 프로세싱 볼륨(112)에서 중간 매체(402), 겔 또는 유동성 유기 드롭렛들(410) 또는 고상 매체(504)를 활용하게 되면, 전기장을 발생시키기 위해 전극 어셈블리들(116, 118)에 적용되는 전압 강하/손실의 가능성이 상당히 감소되거나 제거될 수 있다. 포토레지스트 층(150)에 대해 전기장을 발생시키는 동안, 기판(140)은, 포토레지스트 층(150)의 상이한 위치들에서의 전기장 세기를 변화시키기 위해, 필요에 따라, 전극 어셈블리들(116, 118)에 대해 상대 운동을 갖거나 또는 갖지 않을 수도 있다.
[0064] 상기 주목한 바와 같이, 대전된 종(255)은 임의의 동작에서 또는 동작들의 임의의 조합에서 가이딩될 수 있다. 몇몇 실시예들에서, 대전된 종(255)은, 하나의 동작에서는 잠상 라인들(155)에 대해 하나의 방향으로 가이딩되고, 다른 동작에서는 잠상 라인들(155)에 대해 다른 방향으로 가이딩된다. 예를 들어, 노광 동작(730) 동안, 대전된 종(255)은 제 1 표면(134)에 대해 수직인 방향으로 가이딩될 수 있으며, 그리고 노광-후 베이크 동작(740) 동안, 대전된 종(255)은 잠상 라인들(155)의 방향으로 또는 잠상 라인들(155)의 방향과 제 1 표면(134)에 대해 수직인 방향 모두로 가이딩될 수 있다. 다른 실시예에서, 대전된 종은, 노광 동작(730) 동안, 잠상 라인들(155)의 방향으로 또는 잠상 라인들(155)의 방향과 제 1 표면(134)에 대해 수직인 방향 모두로 가이딩될 수 있으며, 그리고 동작(740)에서의 노광-후 베이크 동안에는 제 1 표면(134)에 대해 수직인 방향으로 가이딩될 수 있다. 몇몇 실시예들에서, 대전된 종(255)은 단일 페이즈(phase) 내에서 서로 상이한 방향들로 가이딩될 수 있다. 예를 들어, 노광 동작에서 또는 노광-후 베이크 동작 동안, 대전된 종(255)은, 스테이지의 일부 동안, 제 1 표면(134)에 대해 수직인 방향으로 가이딩될 수 있으며, 그리고 스테이지의 일부 동안, 제 1 표면(134)에 대해 수직인 방향으로 그리고 잠상 라인들의 방향을 따르는 방향으로 가이딩될 수 있다. 가이딩되는 방향에 있어서의 이러한 변화는, 수직 자기장을 인가하면서 자기장을 온(on) 및 오프(off)로 토글링(toggling)함으로써 달성될 수 있다.
[0065] 이후, 동작(750)에서, 포토레지스트 층을 현상하기 위해, 기판(140)으로부터의 전자기 방사에 노출된 또는 노출되지 않은 구역들에 대해 현상 프로세스가 수행된다. 일 실시예에서, 동작(740) 이후, 기판(140)은 현상 챔버, 이를 테면 도 6에 도시된 현상 챔버(640)로 이송된다. 프로세싱 시스템(600)을 사용하는 실시예들에서, 기판(140)은 프로세싱 챔버(100)로부터 이송 챔버(605)를 통해 현상 챔버(640)로 이송될 수 있다. 현상 챔버(640)는 또한, 자석들(196) 및 액추에이터(190) 및/또는 하나 또는 그 초과의 전력 공급부들에 커플링된 전극 어셈블리(116)를 포함할 수 있다. 기판(140)은, 코팅 챔버(620)와 관련하여 설명된 바와 같이 자석들(196) 및 전극 어셈블리(116)에 대하여 현상 챔버(640) 내에 포지셔닝될 수 있다.
[0066] 동작(750) 동안, 포토레지스트 층(150)은, 예를 들어, 포토레지스트 층(150)을 현상액, 이를 테면 수산화나트륨 용액, 수산화 테트라메틸암모늄(tetramethylammonium hydroxide) 용액, 크실렌, 또는 스토다드 용제(Stoddard solvent)에 노출시킴으로써 현상될 수 있다. 기판(140)은, 예를 들어, 물 또는 n-부틸아세테이트에 의해 린싱될 수 있다. 동작(750)에서의 현상 프로세스 이후, 잠상 라인들(155)은 더 이상 잠복성이지(latent) 않을 수 있다. 기판(140) 상의 라인들(155)은, 통상의 기법들과 비교하여, 더 적은 라인 엣지/폭 거칠기를 가질 것이다.
[0067] 이후, 동작(760)에서, 현상 프로세스 이후 기판을 포스트-처리(post-treat)하기 위해 기판(140)에 대해 포스트-처리 프로세스가 수행될 수 있다. 포스트-처리 프로세스는, 예를 들어, 도 6에 도시된 포스트-프로세싱 챔버(650)에서 수행될 수 있다. 프로세싱 시스템(600)을 사용하는 실시예에서, 기판(140)은 포스트-프로세싱을 위해, 현상 챔버(640)로부터 이송 챔버(605)를 통해 포스트-프로세싱 챔버(650)로 이송될 수 있다. 예를 들어, 린싱 이후, 기판(140)은 하드 베이킹되고(hard baked) 검사될 수 있다. 검사 이후, 기판(140)에 대해 에칭 프로세스가 수행될 수 있다. 에칭 프로세스는, 층(145)에 패턴을 전사시키기 위해, 포토레지스트 층(150)의 피처들, 이를 테면 라인들(155)을 사용한다.
[0068] 동작(710)에서 기판 상에 포토레지스트 층을 적용하고, 동작(720)에서 기판을 가열하고, 동작(730)에서 기판을 전자기 방사에 노출시키고, 동작(740)에서 기판을 가열하고, 동작(750)에서 기판을 현상하고, 그리고 동작(760)에서 기판을 포스트-처리하는 프로세스들을 수행하는 동안, 광산 발생제에 의해 발생되는 대전된 종(255)을 요구되는 방향으로, 이를 테면 x-y 평면에 평행하고 그리고 잠상 라인들(155)의 방향으로, x-y 평면에 평행하고 그리고 잠상 라인들(155)에 대해 수직인 방향으로, 상이한 방향으로, 또는 이들의 조합들로 가이딩하기 위하여, 동작(701)에 나타낸 바와 같이, 전기장을 발생시키도록 전압이 인가될 수 있다. 전극 어셈블리(116)와 기판(140) 사이에 정의되는 가능한 에어 갭을 피하기 위해 전극 어셈블리(116)와 기판(140) 사이에 배치되는, 중간 매체(402), 겔 또는 유동성 유기 드롭렛들(410) 또는 고상 매체(504)에 의해, 전기장을 발생시키기 위해 그에 인가되는 전압은 이렇게 되면, 요구되지 않는 전압 강하 또는 손실 없이, 요구되는 레벨로 유지될 수 있다.
[0069] 이전에 설명된 실시예들은 다음을 포함하는 많은 장점들을 갖는다. 예를 들어, 본원에서 개시되는 실시예들은, 라인 엣지/폭 거칠기 감소를 위해, 기판 상에 배치된 포토레지스트 층과 전극 어셈블리 간에 전기장을 인가하는 동안 전압 강하/손실을 감소시키거나 제거할 수 있다. 전압 강하/손실의 감소 또는 제거는, 전극 어셈블리(116)와 기판(140) 사이에 배치되는, 중간 매체(402), 겔 또는 유동성 유기 드롭렛들(410) 또는 고상 매체(504)를 활용하여, 이들 사이에 정의되는 가능한 에어 갭을 피함으로써, 달성될 수 있다. 상기 언급된 장점들은 예시적인 것이며 제한적인 것이 아니다. 반드시 모든 실시예들이 모든 장점들을 가질 필요는 없다.
[0070] 전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 다중 패터닝 프로세스(multiple patterning process) 동안 스페이서(spacer) 층을 증착 및 패터닝하기 위한 방법으로서,
    기판 상에 배치된 패터닝된 구조의 외측 표면 상에 스페이서 층을 등각적으로(conformally) 형성하는 단계 ― 상기 패터닝된 구조는 중간에(therebetween) 정의되는 제 1 그룹의 개구들을 가짐 ― ;
    상기 스페이서 층의 제 2 부분은 처리하지 않으면서, 상기 기판 상에 형성된 상기 스페이서 층의 제 1 부분을 선택적으로 처리하는 단계; 및
    상기 스페이서 층의 처리된 상기 제 1 부분을 선택적으로 제거하는 단계를 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 패터닝된 구조는 비정질 탄소 재료, 실리콘 질화물, 실리콘 이산화물 또는 실리콘 탄화물을 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 스페이서 층은 폴리실리콘 또는 비정질 실리콘을 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 기판 상에 스페이서 층을 등각적으로 형성하기 전에, 상기 기판을 선처리하는(pretreating) 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  5. 제 1 항에 있어서,
    기판을 선처리하는 단계는,
    비활성 가스를 포함하는 선처리 가스 혼합물을 상기 기판에 공급하는 단계; 및
    기판 온도를 섭씨 약 200도 내지 약 400도로 유지하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  6. 제 5 항에 있어서,
    약 2000 Watts의 상단의 유도성 커플링된 소스 전력(top inductive coupled source power), 및 약 4000 Watts의 측면의 유도성 커플링된 소스 전력(side inductive coupled source power)를 인가하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 스페이서 층을 등각적으로 형성하는 단계는,
    실리콘-계 가스(silicon-based gas) 및 N2 가스를 포함하는 증착 가스 혼합물을 공급하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 증착 가스 혼합물을 공급하는 단계는,
    상기 가스 혼합물에 6500 Watts 미만의 유도성 커플링된 소스 전력을 인가하는 단계; 및
    상기 가스 혼합물에 100 Watts 내지 약 500 Watts 의 RF 바이어스 전력을 인가하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 스페이서 층의 제 1 부분을 선택적으로 처리하는 단계는,
    비활성 가스를 포함하는 증착-후(post-deposition) 처리 가스 혼합물을 상기 기판에 공급하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 증착-후 처리 가스 혼합물을 공급하는 단계는,
    상기 증착-후 처리 가스 혼합물에, RF 소스 전력 없이, 250 Watts 내지 약 1500 Watts 의 RF 바이어스 전력을 인가하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  11. 제 1 항에 있어서,
    상기 스페이서 층의 제 1 부분을 선택적으로 처리하는 단계는,
    상기 스페이서 층의 측벽들 및 코너들을 처리하지 않으면서, 상기 스페이서 층의 상단 표면 및 바닥 표면을 선택적으로 처리하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  12. 제 1 항에 있어서,
    상기 스페이서 층의 처리된 상기 제 1 부분을 선택적으로 제거하는 단계는,
    암모니아(NH3) 가스 및 삼불화질소(NF3) 가스를 포함하는 선택적 제거 가스 혼합물을 공급하는 단계; 및
    상기 기판에 대한 상기 가스 혼합물에 원격 플라즈마 소스를 적용하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  13. 제 1 항에 있어서,
    상기 스페이서 층의 처리된 상기 제 1 부분을 선택적으로 제거하는 단계는,
    상기 스페이서 층의 측벽들 및 코너들을 포함하는 상기 제 2 부분은 실질적으로 공격하지 않으면서, 상기 스페이서 층의 상단 표면 및 바닥 표면을 포함하는, 상기 스페이서 층의 상기 제 1 부분을 지배적으로(predominately) 에칭하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 패터닝된 구조를 상기 기판으로부터 제거하는 단계; 및
    에칭된 스페이서 층 내에, 상기 제 1 그룹의 개구들의 치수 보다 작은 치수를 갖는, 제 2 그룹의 개구들을 형성하는 단계를 더 포함하는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
  15. 제 13 항에 있어서,
    선처리 프로세스, 등각적으로 증착하는 프로세스, 선택적인 처리 프로세스 및 선택적인 제거 프로세스는 모두 단일 프로세싱 챔버에서 수행되는,
    다중 패터닝 프로세스 동안 스페이서 층을 증착 및 패터닝하기 위한 방법.
KR1020160070539A 2015-06-08 2016-06-07 액침 필드 가이드 노광 및 노광-후 베이크 프로세스 KR102610050B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/733,923 2015-06-08
US14/733,923 US9829790B2 (en) 2015-06-08 2015-06-08 Immersion field guided exposure and post-exposure bake process

Publications (2)

Publication Number Publication Date
KR20160144329A true KR20160144329A (ko) 2016-12-16
KR102610050B1 KR102610050B1 (ko) 2023-12-04

Family

ID=56690739

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160070539A KR102610050B1 (ko) 2015-06-08 2016-06-07 액침 필드 가이드 노광 및 노광-후 베이크 프로세스

Country Status (5)

Country Link
US (1) US9829790B2 (ko)
JP (3) JP2017034233A (ko)
KR (1) KR102610050B1 (ko)
CN (2) CN106249554B (ko)
TW (1) TWI716410B (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
US10276379B2 (en) * 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
KR102443698B1 (ko) 2018-03-16 2022-09-15 삼성전자주식회사 집적회로 소자의 제조 방법
US10515812B1 (en) * 2018-08-13 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing pattern roughness in semiconductor fabrication
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2020149903A1 (en) 2019-01-18 2020-07-23 Applied Materials, Inc. A film structure for electric field guided photoresist patterning process
WO2020264556A1 (en) * 2019-06-28 2020-12-30 Lam Research Corporation Bake strategies to enhance lithographic performance of metal-containing resist
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
KR102323438B1 (ko) * 2020-02-25 2021-11-05 연세대학교 산학협력단 전기장 셰이핑 장치 및 전기장을 이용한 타겟 처리 장치
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) * 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
US20220199414A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
JP2024509727A (ja) 2021-02-15 2024-03-05 アプライド マテリアルズ インコーポレイテッド フォトレジストの露光後ベークのための装置
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
TWI821915B (zh) * 2021-02-25 2023-11-11 日商東京威力科創股份有限公司 具有原位電偏壓的半導體處理系統

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003503840A (ja) * 1999-06-30 2003-01-28 ラム リサーチ コーポレーション 高位置固定均一化リング
KR20050089784A (ko) * 2002-02-08 2005-09-08 아네르바 가부시키가이샤 고주파 플라즈마 처리방법 및 고주파 플라즈마 처리장치
JP2006032605A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
US20100029093A1 (en) * 2006-09-29 2010-02-04 Tokyo Electron Limited Plasma oxidizing method, plasma processing apparatus, and storage medium
US20100248152A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Using Electric-Field Directed Post-Exposure Bake for Double-Patterning (D-P)
JP2013243384A (ja) * 2008-06-16 2013-12-05 Applied Materials Inc 炭素質ハードマスクによる二重露光パターニング

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03159114A (ja) 1989-11-16 1991-07-09 Mitsubishi Electric Corp 微細パターンの形成方法
JPH07106235A (ja) * 1993-10-08 1995-04-21 Matsushita Electric Ind Co Ltd パターン形成方法
US6830389B2 (en) * 2000-10-25 2004-12-14 Advanced Micro Devices, Inc. Parallel plate development with the application of a differential voltage
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
JP4251830B2 (ja) 2001-08-08 2009-04-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
JP4364105B2 (ja) 2004-11-08 2009-11-11 東京エレクトロン株式会社 熱処理装置、及び熱処理方法
US7341939B2 (en) 2005-02-18 2008-03-11 Taiwan Semiconductor Maunfacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
US7838205B2 (en) 2006-07-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
JP2009194242A (ja) 2008-02-15 2009-08-27 Tokyo Electron Ltd 塗布、現像装置、塗布、現像方法及び記憶媒体
US20120085747A1 (en) * 2010-10-07 2012-04-12 Benson Chao Heater assembly and wafer processing apparatus using the same
JP2013542613A (ja) * 2010-10-27 2013-11-21 アプライド マテリアルズ インコーポレイテッド フォトレジスト線幅の荒れを制御するための方法及び装置
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP6011417B2 (ja) 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
WO2014024309A1 (ja) 2012-08-10 2014-02-13 富士通株式会社 Qcmセンサとその製造方法
KR102051627B1 (ko) * 2013-01-07 2019-12-03 삼성전자주식회사 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003503840A (ja) * 1999-06-30 2003-01-28 ラム リサーチ コーポレーション 高位置固定均一化リング
KR20050089784A (ko) * 2002-02-08 2005-09-08 아네르바 가부시키가이샤 고주파 플라즈마 처리방법 및 고주파 플라즈마 처리장치
JP2006032605A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
US20100029093A1 (en) * 2006-09-29 2010-02-04 Tokyo Electron Limited Plasma oxidizing method, plasma processing apparatus, and storage medium
JP2013243384A (ja) * 2008-06-16 2013-12-05 Applied Materials Inc 炭素質ハードマスクによる二重露光パターニング
US20100248152A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Using Electric-Field Directed Post-Exposure Bake for Double-Patterning (D-P)

Also Published As

Publication number Publication date
JP2021040139A (ja) 2021-03-11
CN106249554B (zh) 2021-04-02
KR102610050B1 (ko) 2023-12-04
JP2017034233A (ja) 2017-02-09
JP7094336B2 (ja) 2022-07-01
US20160357107A1 (en) 2016-12-08
TW201643562A (zh) 2016-12-16
JP3205856U (ja) 2016-08-18
CN106249554A (zh) 2016-12-21
CN206057801U (zh) 2017-03-29
TWI716410B (zh) 2021-01-21
US9829790B2 (en) 2017-11-28

Similar Documents

Publication Publication Date Title
KR102610050B1 (ko) 액침 필드 가이드 노광 및 노광-후 베이크 프로세스
JP6582081B2 (ja) 電場/磁場案内された酸拡散
US9280070B2 (en) Field guided exposure and post-exposure bake process
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
TWI830683B (zh) 用於電場引導的光阻劑圖案化製程的膜結構
US9927709B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
US9996006B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9733579B2 (en) Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant