JP2021040139A - フィールドガイドによる埋設式露光、及び露光後ベークプロセス - Google Patents

フィールドガイドによる埋設式露光、及び露光後ベークプロセス Download PDF

Info

Publication number
JP2021040139A
JP2021040139A JP2020172369A JP2020172369A JP2021040139A JP 2021040139 A JP2021040139 A JP 2021040139A JP 2020172369 A JP2020172369 A JP 2020172369A JP 2020172369 A JP2020172369 A JP 2020172369A JP 2021040139 A JP2021040139 A JP 2021040139A
Authority
JP
Japan
Prior art keywords
substrate
spacer layer
electrode assembly
photoresist layer
mixed gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020172369A
Other languages
English (en)
Other versions
JP7094336B2 (ja
Inventor
ダグラス エー., ジュニア. ブーフベルガー,
A Buchberger Douglas Jr
ダグラス エー., ジュニア. ブーフベルガー,
サンキ ナム,
Sang Ki Nam
サンキ ナム,
ヴィアチェスラフ ババヤン,
Babayan Viachslav
ヴィアチェスラフ ババヤン,
クリスティーン ワイ. オーヤン,
Y Ouyang Christine
クリスティーン ワイ. オーヤン,
ルドヴィーク ゴデット,
Gode Ludovic
ルドヴィーク ゴデット,
シュリーニヴァース ディー. ネマニ,
D Nemani Srinivas
シュリーニヴァース ディー. ネマニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021040139A publication Critical patent/JP2021040139A/ja
Application granted granted Critical
Publication of JP7094336B2 publication Critical patent/JP7094336B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/0032Devices or apparatus characterised by heat providing or glossing means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

【課題】露光後のフォトレジスト層の付近に安定した強度の電場や磁場を形成し、化学的特性を変化させて解像度を向上できる装置を提供する。【解決手段】装置の処理チャンバ100は、基板支持表面を有する基板支持体アセンブリ138と、基板支持体に組み込まれ、基板支持表面上に配置された基板を加熱するヒータ132と、下表面が基板支持表面と対向して配置され、基板支持表面とほぼ垂直な方向に電場を形成する電極アセンブリ116と、基板支持体または電極アセンブリの端部に配置され、基板支持表面との間の処理容積112を規定し、中間媒体を保持する閉じ込めリング154と、を備える。【選択図】図1

Description

本発明は、概して、基板を処理するための方法及び装置に関する。より具体的には、フォトリソグラフィプロセスを改良する方法及び装置に関する。
集積回路は、単一のチップ上に何百万もの部品(例えばトランジスタ、コンデンサ、及び抵抗)を含み得る、複雑な装置へと進化してきた。チップ上に部品を形成するために、フォトリソグラフィが使用され得る。概して、フォトリソグラフィのプロセスには、幾つかの基礎段階が含まれる。最初に、基板上にフォトレジスト層が形成される。フォトレジスト層は、例えば、スピンコートによって形成され得る。化学的に増幅されたフォトレジストには、レジスト樹脂及び光酸発生剤が含まれ得る。光酸発生剤は、後続する露光の段階で電磁放射に露光されると、現像プロセスにおけるフォトレジストの溶解性を変化させる。電磁放射は、超紫外線領域の波長といった、任意の好適な波長を有し得る。電磁放射は、例えば、193nmのArFレーザ、電子ビーム、イオンビーム、または他の放射源といった、任意の好適な放射源からであり得る。次いで、露光前ベークプロセスにおいて、余剰の溶媒が除去され得る。
露光段階において、基板の特定の領域を選択的に電磁放射に露光させるため、フォトマスクまたはレチクルが使用され得る。他の露光法は、マスクレス露光法であり得る。光への露光によって光酸発生剤は分解され得、それによって酸が生成され、レジスト樹脂内に潜在的な酸の画像がもたらされる。露光の後、基板は、露光後ベークプロセスにおいて加熱され得る。露光後ベークプロセスの間、光酸発生剤によって生成された酸は、レジスト樹脂と反応し、後続の現像プロセスの間にレジストの溶解性を変化させる。
露光後ベークの後、基板、特にフォトレジスト層は、現像されリンスされる。使用されたフォトレジストのタイプに応じて、電磁放射に露光された基板の領域は、除去に対する耐性を有するか、またはより除去され易いかのどちらかであり得る。現像及びリンスの後、マスクのパターンは、ウェットまたはドライのエッチングプロセスを使用して、基板に転写される。
チップの設計の進化によって、絶えず、より高速でより高密度な回路が必要とされる。より高密度の回路への需要によって、集積回路部品の寸法の縮小が必要となる。集積回路部品の寸法が縮小するにつれて、より多くの要素が半導体集積回路上の所与のエリア内に配置されることが求められる。それに応じて、リソグラフィ処理は、さらにより小さな特徴部を基板上に転写しなければならず、それは、精密に、正確に、かつ損傷なく行われなければならない。特徴部を精密かつ正確に基板上に転写するために、高解像度リソグラフィは、短波長の放射を提供する光源を使用し得る。短波長は、基板またはウエハ上の最小プリント可能サイズを縮小することに資する。しかし、短波長リソグラフィは、低スループット、ラインエッジラフネスの増大、及び/またはレジスト感度の低下といった問題に悩まされる。
最近の展開では、リソグラフィの露光/現像解像度の向上のため、電磁放射が伝達されるフォトレジスト層の一部分の化学的特性を変化させるように、露光プロセスの前または後に基板上に配置されたフォトレジスト層に電場を形成するのに、電極アセンブリが利用される。しかし、フォトレジスト層の付近に形成された電場の強度の制御が不正確であると、フォトレジスト層に伝達される電場エネルギーが、化学的特性を変化させるには不十分であるという結果になり得る。さらに、基板と電極アセンブリとの間の種々の中間媒体から伝達されることに起因する、この両者間の電圧の望まない低下によってもまた、基板上に配置されたフォトレジスト層に形成される電場の強度は影響され得る。
したがって、フォトレジスト層に形成された電場形成の制御の改良によって、フォトリソグラフィプロセスを改良するための方法及び装置の必要性が存在する。
本明細書で開示されているのは、フォトリソグラフィプロセスの間、空隙を介在させることなく、フォトレジスト層に電場及び/または磁場のフィールドをかけるための装置及び方法である。一実施形態においては、装置には、基板支持表面を有する基板支持体と、基板支持体に組み込まれ、基板支持表面上に配置された基板を加熱するように構成された熱源と、基板支持表面とほぼ垂直な方向に電場を形成するように構成された電極アセンブリであって、下表面が基板支持表面に向くように基板支持表面と対向して配置され、電極アセンブリと基板支持表面との間の処理容積を規定するように基板支持体から隔たっている電極アセンブリと、中間媒体を保持するように構成され、基板支持体または電極アセンブリの端部に配置された閉じ込めリングと、を備える処理チャンバが含まれる。
別の一実施形態においては、処理チャンバには、基板支持表面を備える基板支持体と、基板支持体内に配置された第1の電極及び基板支持表面と反対側に配置された第2の電極を備える電極アセンブリであって、第1及び第2の電極が、両者の間に処理容積を規定し、基板支持表面とほぼ垂直の方向に電場を形成するように構成された電極アセンブリと、処理容積中に配置された中間媒体と、が含まれる。
さらに別の一実施形態においては、基板を処理する方法には、フォトレジスト層内の光酸発生剤から荷電種を生成するために、及び、フォトレジスト層内に、電磁放射に露光されていないフォトレジスト層の一部分とは異なる化学特性を有する物質でほぼ平行な線(複数)を形成するために、基板上に配置されたフォトレジスト層の一部分を電磁放射に露光することと、フォトレジスト層を、空気に曝すことなく、非気相の中間媒体内に埋設(immerse)することと、フォトレジスト層を中間媒体に埋設した状態で、フォトレジスト層に電場をかけることと、が含まれる。
本開示の上述の特徴を詳細に理解し得るように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって受けられ得、実施形態のうちの一部は、添付の図面に示される。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面は本開示の典型的な実施形態のみを例示しており、従って本開示の範囲を限定すると見なすべきではないことに、留意されたい。
一実施形態による、基板処理装置の概略断面図である。 図1の装置の電極アセンブリの実施形態の上面図である。 内部に組み込まれた電極アセンブリの一実施形態を有する、図1の装置の基板支持体アセンブリの一実施形態の、概略側断面図である。 本開示の実施形態による、基板処理に使用され得る中間媒体の代表例の概略図である。 本開示の実施形態による、基板処理に使用され得る中間媒体の代表例の概略図である。 本開示の実施形態による、基板処理に使用され得る中間媒体の代表例の概略図である。 本開示の実施形態による、基板処理に使用され得る中間媒体の代表例の概略図である。 本開示の実施形態による、基板処理に使用され得るクラスタ処理システムの一代表例の概略図である。 一実施形態による、基板処理方法のフロー図である。
理解を容易にするため、可能な場合には、上記の図に共通する同一の要素を示すために同一の参照番号が使用されている。さらに、一実施形態中の要素は、本明細書に記載される他の実施形態中での利用のために有利に適合され得る。
半導体用途のためのフォトリソグラフィプロセスにおいて、ラインエッジラフネス/ライン幅ラフネスを最小化し、露光解像度を向上させるための方法及び装置が、提供される。本明細書で開示される方法及び装置は、フォトリソグラフィプロセスにおける、フォトレジスト感度及び生産性を増大し得る。露光後ベーク手順の間、光酸発生剤によって生成された荷電種がランダムに拡散することは、ラインエッジラフネス/ライン幅ラフネスに寄与し、かつレジスト感度の低下に寄与する。フォトリソグラフィプロセスの間、フォトレジスト層に電場及び/または磁場のフィールドをかけるために、電極アセンブリが利用され得る。電場及び/または磁場の印加は、光酸発生剤によって生成された荷電種の拡散を制御し得る。さらに、フォトレジスト層と電極アセンブリとの間に形成された電場を増強するために、両者の間で中間媒体が利用される。フォトレジスト層と電極アセンブリとの間に規定された空隙によって、電極アセンブリに印加される電圧の低下がもたらされ得、それによって、不利なことに、フォトレジスト層に形成されるのが望ましい電場のレベルが低下する。フォトレジスト層における電場のレベルが不正確であることにより、フォトレジスト層内の特定の望ましい方向に荷電種を動かすまたは作り出すための電圧が不十分または不正確という結果になり得、それゆえ、フォトレジスト層のラインエッジプロファイルの制御の低下に結びつく。こうして、フォトレジスト層と相互作用する電場のレベルを一定の望ましいレベルで維持するため、フォトレジスト層と電極アセンブリとの間に空隙が作り出されるのを防ぐように、両者の間に中間媒体が配置される。そうすることによって、電場によって生成された荷電種は、不正確かつランダムな拡散に起因するラインエッジラフネス/ライン幅ラフネスを防止するように、ライン及び間隔の方向に沿った望ましい方向にガイドされ得る。こうして、制御されたまたは望ましいレベルの電場は、形成されたまま、露光及び/または現像プロセスに向けて、フォトレジスト層の正確性及び感度を増大し得る。一例においては、中間媒体は、スラリ、ゲル、溶液または固体媒体といった、電極アセンブリから基板上に配置されたフォトレジスト層に伝達する際に印加される電圧レベルを規定の範囲に効率的に維持し得る、非気相の媒体であり得る。
図1は、一実施形態による、基板処理装置の概略断面図である。図1の実施形態に示すように、装置は、真空処理チャンバ100の形態であり得る。他の実施形態においては、処理チャンバ100は、真空源に連結されていなくてよい。処理チャンバ100は、独立した処理チャンバであり得る。代わりに、処理チャンバ100は、例えば、インライン処理システム、クラスタ処理システム、または図6に示すトラック処理システム(下記)といった処理システムの一部であり得る。
処理チャンバ100には、チャンバ壁102、電極アセンブリ116、及び基板支持体アセンブリ138が含まれる。チャンバ壁102には、側壁106、リッドアセンブリ110、及び底部108が含まれる。チャンバ壁102は、少なくとも部分的に処理容積112を取り囲む。処理容積112は、基板140の、処理チャンバ100の内外への移動を容易にするように構成された、基板移送ポート(図示せず)を通ってアクセスされる。処理チャンバ100が処理システムの一部である実施形態においては、基板移送ポートは、基板140の移送チャンバの内外への移送を可能にし得る。
処理容積112を排気口に連結するため、処理チャンバ100のリッドアセンブリ110、側壁106または底部108のうちの1つを通じて、ポンピングポート114がオプションで配置され得る。排出口は、ポンピングポート114を真空ポンプといった様々な真空ポンプ部品と連結する。ポンピング部品によって、処理容積112の圧力が減少され得、任意のガス及び/またはプロセスの副産物が処理チャンバ100から外に排出され得る。
基板支持体アセンブリ138が、処理チャンバ100内の中央に配置される。基板支持体アセンブリ138は、処理の間、基板140を支持する。基板支持体アセンブリ138は、オプションの電極アセンブリ118(図3に記述)を封入する本体124を備え得る。本体124は、例えば、アルミニウムといった金属、またはセラミックを含み得る。本体124が金属を含む実施形態においては、電極アセンブリ118は、電極アセンブリ118を金属本体124から絶縁する、絶縁体材料(図示せず)内に封入され得る。電極アセンブリ118は、電源174に連結され得る。他の実施形態においては、電極アセンブリ118は、接地に連結され得る。幾つかの実施形態においては、電極アセンブリ118は、基板支持体アセンブリ138の第1の表面134によって規定されるx−y平面に平行に電場を形成するように構成される。例えば、電極アセンブリ118は、y方向、x方向またはx−y平面上の他の方向のうちの1つに、電場を形成するように構成され得る。他の実施形態においては、電極アセンブリ118は、基板支持体アセンブリ138の第1の表面134によって規定されるx−y平面に垂直に電場を形成するように構成される。
概して、基板支持体アセンブリ138は、第1の表面134及び第2の表面126を有する。第1の表面134は、第2の表面126の反対側である。第1の表面134は、基板140を支持するように構成される。第2の表面126には、ステム142が連結されている。基板140は、基板支持体アセンブリ138の第1の表面134上に配置される。基板140は、誘電体基板、ガラス基板、半導体基板、または導電体基板といった、任意のタイプの基板であり得る。基板140は、上に配置された層145を有し得る。層145は、任意の所望の層であり得る。幾つかの実施形態においては、基板140は1よりも多い層145を有し得る。基板140は、層145の上に配置されたフォトレジスト層150もまた有する。基板140は、フォトリソグラフィプロセスの中の露光段階において、既に電磁放射に露光されている。フォトレジスト層150は、露光段階から中に形成された、潜在画像線155を有する。潜在画像線155は、ほぼ平行であり得る。他の実施形態においては、潜在画像線155は、ほぼ平行でなくてよい。
幾つかの実施形態においては、基板支持体アセンブリ138は、静電チャックであり得る。幾つかの実施形態においては、基板支持体アセンブリ138の本体124は、組み込み型ヒータ132を封入し得る。(抵抗要素といった)組み込み型ヒータ132は、基板支持体アセンブリ138内に配置される。組み込み型ヒータ132は、基板支持体アセンブリ138及びその上に配置された基板140を、既定の温度まで制御可能に加熱する。組み込み型ヒータ132は、基板140の温度を、迅速に一定の割合で上昇させ、基板140の温度を制御するように構成される。幾つかの実施形態においては、組み込み型ヒータ132は、電源174に接続され、電源174によって制御される。電源174は、下記の電源170と同様に構成され得る。
幾つかの実施形態においては、処理チャンバ100は、他の加熱源を含み得る。例えば、加熱ランプが、処理チャンバ100の内部または外部に配置され得る。幾つかの実施形態においては、基板140上に配置されたフォトレジスト層150(もしくは他の層)または電極アセンブリ116のアンテナ220、221を加熱するために、1または複数のレーザが使用され得る(図2参照)。幾つかの実施形態においては、基板支持体アセンブリ138は、基板支持体アセンブリ138上に配置された基板140の温度をより速く上昇させるため、高効率熱交換液を循環させるように構成され得る。
幾つかの実施形態においては、基板支持体アセンブリ138は、第1の表面134(及びその上に配置された基板140)と電極アセンブリ116との間で相対的な移動を提供するように構成され得る。例えば、基板支持体アセンブリ138は、z軸の周囲を回転するように構成され得る。基板支持体アセンブリ138は、連続的にもしくは恒常的に回転するように構成され得、または、段階的に回転するように構成され得る。例えば、基板支持体アセンブリ138は、90°、180°、または270°といった既定の量で回転し得、既定の量の時間だけ停止し得る。既定の量の時間の後、回転は、段階的にまたは連続的に継続し得る。
基板支持体アセンブリ138は、垂直に(即ち、z方向に)移動するように構成され得る。基板支持体アセンブリ138は、電極アセンブリ116から隔てられ得る。例えば、基板支持体アセンブリ138及び電極アセンブリ116とは、少なくとも約0.1mmの距離で隔てられ得る。閉じ込めリング154は、基板支持体アセンブリ138を取り囲む、基板支持体アセンブリ138の端部上に配置され、基板支持体アセンブリ138の第1の表面134と電極アセンブリ116との間で、z方向に距離dを規定する。閉じ込めリング154は、基板140が基板支持体アセンブリ138の第1の表面134上の所望の場所に位置し続けることを助け得る。さらに、閉じ込めリング154はまた、フォトレジスト層150の上方の
処理容積112内に位置する中間媒体、即ち、固体スラリ、ゲル、及び/または液体媒体といった非気相媒体を、その場所に閉じ込め得る。一例においては、閉じ込めリング154は、中間媒体が処理容積112内に配置された際、例えば物質及び/または液体媒体といった中間媒体を、基板140上に配置されたフォトレジスト層150の表面全体を覆うレベルに保持するのに十分な長さ、即ち約0.5mm〜約10mmの間の既定の距離dを有し得る。代わりに、閉じ込めリングは、基板140を所望の位置にガイドするのを助けるため、基板支持体アセンブリ138に向かって下方に延伸しながら、電極アセンブリ116の端部に配置され得る。一例においては、閉じ込めリング154は、セラミック材料、導電性材料、誘電体材料、または、処理容積112内に位置する中間媒体に対して化学的に不活性な、他の好適な材料から製造され得る。
一例においては、中間媒体分注器173が、チャンバ壁102を通って処理チャンバ100内に配置される。中間媒体分注器173には、分注器173に連結され、基板140上方の閉じ込めリング154によって閉じ込められた処理容積112に中間媒体を分注するように構成された、ノズル171が含まれる。中間媒体分注器173は、中間媒体を処理容積112に供給する中間媒体源172に連結される。中間媒体の好適な例には、水、有機ゲル、樹脂、無機溶液、無機ゲル、スラリなどといった任意の好適な液体、または、基板140のほぼ表面全体を覆うため、容易に溶解しその後再凝固し得る、任意の固体材料が含まれる。使用され得る中間媒体に関する詳細は、以下で図4A〜5Bを参照して記載される。
ステム142は、基板支持体アセンブリ138を、上昇した処理位置(図示のとおり)と下降した基板移送位置との間で移動させるリフトシステム(図示せず)に連結される。リフトシステムは、基板140の位置をz方向に制御し得る。幾つかの実施形態においては、リフトシステムはまた、基板140をx方向、y方向、またはx方向及びy方向に移動させるようにも構成され得る。ステム142は、追加で、基板支持体アセンブリ138と処理チャンバ100の他の部品との間の電気及び熱電対の導線用の導管を提供する。処理容積112と処理チャンバ100外部の大気との間に真空シールを設け、基板支持体アセンブリ138のz方向の移動を容易にするために、べローズ146が基板支持体アセンブリ138に連結される。
オプションで、リッドアセンブリ110は入り口180を含み得、供給源104から供給されたガスは、入り口180を通って処理チャンバ100に入り得る。オプションで、供給源104は、窒素、アルゴン、ヘリウム、水素、ネオン、塩素、その他のガス、またはそれらの組み合わせといったガスによって、処理容積112を制御可能に加圧し得る。供給源104からのガスによって、処理チャンバ100内に制御された環境が作り出され得る。他の実施形態においては、供給源104からのガスは、プラズマを生成するために使用され得る。例えば、プラズマは、遠隔プラズマ源160内で生成され得る。供給源104は、供給導管105を通じて、処理容積112に直接連結され得る。示されるような幾つかの実施形態においては、1または複数の原料(source)化合物が、間接的に処理容積112に流入し得る。示されるように、1または複数の原料化合物は、処理容積112に流入する前に、まず遠隔プラズマ源160を通過する。
遠隔プラズマ源160は、電子といった荷電種を、処理容積112内に提供するように構成され得る。遠隔プラズマ源は、例えば、容量結合されたプラズマ源、または誘導結合されたプラズマ源であり得る。遠隔プラズマ源160は、電源176に連結される。電源176は、例えば、RF電源であり得る。電源176は、10Hzと約1MHzの間、例えば約5kHzの周波数で電力を供給するように構成され得る。他の実施形態においては、電源176は、13.56MHzで電力を供給するように構成され得る。電源176及び遠隔プラズマ源160は、「ソフト」なプラズマを生成するように構成され得る。例えば、生成されたプラズマには、約5eVと約50eVの間といった、約1eVと約1000eVとの間のイオンエネルギーを有する荷電種が含まれ得る。幾つかの実施形態では、イオンエネルギーは約1eVから約5eVの間であり得る。ソフトプラズマ内の電子は、第1の表面134の面とは垂直な方向に向けて光酸発生剤から生成された荷電種255(図2A及び2Bに示す)を駆動するために、使用され得る。荷電種をz方向に駆動することによって、レジスト感度が増大され得る。
300mmの基板を使用する代表例においては、ソフトプラズマは、以下のように生成され得る。ガス流は、基板の直径に比例して増減され得ると考えられる。水素ガスが、約10sccmと約1000sccmとの間の流量で、遠隔プラズマ源160内に供給され得る。オプションで、アルゴンは約1000sccmまでの流量で遠隔プラズマ源160内に流入され得る。誘導結合された遠隔プラズマ源160内で、約400Wと約800Wの間のRF電力から、プラズマが生成され得る。処理容積112の圧力は、少なくとも10−5Torrにまで減少され得る。例えば、圧力は約10−6Torrから約10−8Torrの間にまで減少され得る。基板支持体アセンブリ138の温度は、必要に応じて、約70°Cと約160°Cの間、例えば約90°Cと140°Cの間といった、室温から約200°Cまでに維持され得る。
電極アセンブリ116と基板支持体アセンブリ138との間の相対的な移動を提供するため、リッドアセンブリ110と電極アセンブリ116との間に、アクチュエータ190が連結され得る。アクチュエータ190は、電極アセンブリ116をx、y、及びz方向のうちの1または複数の方向に移動させるように構成され得る。本明細書では、x及びy方向は、横の方向または次元として言及される。アクチュエータ190によって、電極アセンブリ116が基板140の表面をスキャンすることが可能になる。アクチュエータ190はまた、距離dが調節されることも可能にする。幾つかの実施形態においては、電極アセンブリ116は、固定ステム(図示せず)によってリッドアセンブリ110に連結される。幾つかの実施形態においては、アクチュエータ190は、電極アセンブリ116をz軸の周囲で回転させるように構成される。他の実施形態においては、電極アセンブリ116は、処理チャンバ100の底部108の内部、基板支持体アセンブリ138の第2の表面126、またはステム142に連結され得る。
電極アセンブリ116には、1または複数の電極が含まれる。電極アセンブリ116は、電源170に連結される。電極アセンブリ116に1つよりも多い電極が含まれる実施形態においては、各電極が電源に接続され得る。幾つかの実施形態においては、電極アセンブリ116は、基板支持体アセンブリ138の第1の表面134によって規定されるx−y平面に平行に電場を形成するように構成される。例えば、電極アセンブリ116は、y方向、x方向またはx−y平面上の他の方向のうちの1つに、電場を形成するように構成され得る。一実施形態においては、電極アセンブリ116は、x−y平面上かつ潜在画像線155の方向に、電場を形成するように構成される。他の実施形態においては、電極アセンブリ116は、x−y平面上かつ潜在画像線155とは垂直の方向に、電場を形成するように構成される。電極アセンブリ116は、追加で、または代わりに、z方向、例えば第1の表面134と垂直に、電場を形成するように構成され得る。
電源170及び/または電源174は、例えば、約500Vと約100kVの間の電圧を、電極アセンブリ116及び/または電極アセンブリ118の1または複数の電極に、供給するように構成され得る。幾つかの実施形態においては、電源170及び/または電源174は、連続もしくはパルスの直流(DC)電源、または連続もしくはパルスのAC電源である。パルスのDC波またはAC波は、半波整流器または全波整流器からであり得る。電源170及び/または電源174は、約10Hzと約1MHzの間、例えば約5kHzの周波数で電力を供給するように構成され得る。パルスDC電力またはAC電力のデューティサイクルは、約20%と約60%の間といった、約5%と約95%の間であり得る。幾つかの実施形態においては、パルスDC電力またはAC電力のデューティサイクルは、約20%と約40%の間であり得る。他の実施形態においては、パルスDC電力またはAC電力のデューティサイクルは、約60%であり得る。パルスDC電力またはAC電力の立ち上がり及び立ち下がり時間は、約10nsと約500nsの間といった、約1nsと約1000nsの間であり得る。他の実施形態においては、パルスDC電力またはAC電力の立ち上がり及び立ち下がり時間は、約10nsと約100nsの間であり得る。幾つかの実施形態においては、パルスDC電力またはAC電力の立ち上がり及び立ち下がり時間は、約500nsであり得る。幾つかの実施形態においては、電源170及び/または電源174は、交流電源である。他の実施形態においては、電源170及び/または電源174は、直流電源である。
示されるように、電極アセンブリ116は、ほぼ、基板支持体アセンブリ138の幅にわたって広がっている。他の実施形態においては、電極アセンブリ116の幅は、基板支持体アセンブリ138の幅よりも小さくてよい。例えば、電極アセンブリ116は、基板支持体アセンブリ138の幅の、約20%から約40%といった、約10%から約80%の間にわたって広がり得る。電極アセンブリ116の幅が基板支持体アセンブリ138の幅よりも短い実施形態においては、アクチュエータ190は、基板支持体アセンブリ138の第1の表面134上に配置された基板140の表面にわたって、電極アセンブリ116をスキャンし得る。例えば、アクチュエータ190は、電極アセンブリ116が基板140の表面全体をスキャンするように、スキャンし得る。他の実施形態においては、アクチュエータ190は、基板140のある一部分だけをスキャンし得る。代わりに、基板支持体アセンブリ138は、電極アセンブリ116の下をスキャンし得る。
幾つかの実施形態においては、処理チャンバ100内に、1または複数の磁石196が配置され得る。図1に示す実施形態においては、磁石196は、側壁106の内側表面に連結される。他の実施形態においては、磁石196は処理チャンバ100内の他の場所、または処理チャンバ100の外部に配置され得る。例えば、磁石196は、処理チャンバ100内で、かつ、底部108及び/またはリッドアセンブリ110に隣接して、配置され得る。磁石196は、例えば、永久磁石または電磁石であり得る。代表的な永久磁石には、セラミック磁石及びレアアース磁石が含まれる。磁石196に電磁石が含まれる実施形態においては、磁石196は電源(図示せず)に連結され得る。磁石196は、電極アセンブリ116及び/または電極アセンブリ118によって形成される電場に対して、平行な方向、垂直な方向、または他の方向に向けて、磁場を形成するように構成される。磁石196は、第1の表面134にわたって、約1テスラ(T)と約5Tの間といった、約0.1Tと約10Tの間の磁場強度を形成するように構成され得る。磁場のフィールドを含む実施形態においては、磁石196は、静止状態を保ち得るか、または第1の表面134に対して移動し得る。
図2は、図1の電極アセンブリ116の実施形態の上面図である。図2に示されるように、電極アセンブリ116は、少なくとも第1の電極258及び第2の電極260を含み得る。第1の電極258には、第1の端子210、支持構造物230、及び1または複数のアンテナ220が含まれる。第2の電極260には、第2の端子211、支持構造物230、及び1または複数のアンテナ221が含まれる。第1の電極258の第1の端子210、支持構造物230、及び1または複数のアンテナ220は、単一の本体を形成し得る。代わりに、第1の電極258は、連結され得る、別個の部分を含み得る。例えば、1または複数のアンテナ220は、支持構造物230から取外し可能であり得る。同様に、第2の電極260は、単一の本体であり得るか、または取外し可能な別個の部品から成り得る。第1の電極258及び第2の電極260は、任意の好適な方法によって用意され得る。例えば、第1の電極258及び第2の電極260は、機械加工、鋳造、または積層造形によって製作され得る。
支持構造物230は、金属といった導電性材料から作られ得る。例えば、支持構造物230は、シリコン、ポリシリコン、炭化ケイ素、モリブデン、アルミニウム、銅、グラファイト、銀、プラチナ、金、パラジウム、亜鉛、他の材料、またはこれらの混合物のうちの1または複数から作られ得る。支持構造物230は、任意の所望の寸法を有し得る。例えば、支持構造物230の長さLは、約25mmと約450mmの間、例えば、約100mmと約300mmの間であり得る。幾つかの実施形態においては、支持構造物230は、標準的な半導体基板の直径とほぼ等しい長さLを有する。他の実施形態においては、支持構造物230は、標準的な半導体基板の直径より大きいまたは小さい長さLを有する。例えば、種々の代表的な実施形態において、支持構造物230の長さLは、約25mm、約51mm、約76mm、約100mm、約150mm、約200mm、約300mm、または約450mmであり得る。支持構造物230の幅Wは、約2mmから約25mmの間であり得る。他の実施形態においては、支持構造物230の幅Wは、約2mm未満であるか、または約25mmより大きくてよい。支持構造物230の厚さは、約2mmと約8mmの間、例えば約5mmといった、約1mmと約10mmの間であり得る。他の実施形態においては、支持構造物は、約1mm未満の、または約10mmより大きい厚さを有し得る。幾つかの実施形態においては、支持構造物230は、正方形、円筒形、長方形、長円形、棒状、または他の形状の断面を有し得る。丸い外表面を有する実施形態は、アーク放電を回避し得る。
支持構造物231は、支持構造物230と同一の材料から作られ得る。支持構造物230及び支持構造物231は、異なる材料から作られてよい。支持構造物230と支持構造物231の長さL、幅W及び厚さは、同じであってもよく、異なっていてもよい。第1の電極258の1または複数のアンテナ220もまた、導電性材料から作られてよい。1または複数のアンテナ220は、支持構造物230と同一の材料から作られてよい。各アンテナ220は、同一の寸法を有し得る。代わりに、1または複数のアンテナ220のうちの幾つかは、1または複数の他のアンテナ220とは異なる寸法を有し得る。アンテナ221は、アンテナ220と同じ範囲の材料から作られ得る。アンテナ220にとって好適な寸法の範囲は、アンテナ221にとってもまた好適である。
アンテナ220の数は、約1と約40との間であり得る。例えば、アンテナ220の数は、約4と約40の間、例えば、約10と約20の間であり得る。各アンテナ220は、他の各アンテナ220とほぼ平行であり得る。各アンテナ221は、支持構造物231及び他の各アンテナ221に対して、同様に配置され得る。一例においては、支持構造物230及び支持構造物231は、真っ直ぐであり得る。他の例では、支持構造物230及び支持構造物231は、湾曲したり、ギザギザであったり、または他の輪郭もしくは形状を有したりと、真っ直ぐでなくてよい。これらの実施形態において、各アンテナ220は、引き続き他の各アンテナ220とほぼ平行であり得る。
各アンテナ220は、終端部223を有する。各アンテナ221は、終端部225を有する。支持体構造230と終端部225との間に、距離Cが規定される。支持体構造231と終端部223との間に、距離C’が規定される。各距離C及びC’は、約1mmと約10mmの間であり得る。アンテナ221のうちの1つと隣接するアンテナ221のうちの1つとの相対する表面間に、距離Aが規定される。アンテナ220の1つと隣接するアンテナ220のうちの1つとの相対する表面間に、距離A’が規定される。距離A及びA’は、約6mmより大きくてよい。アンテナ220のうちの1つと隣接するアンテナ221のうちの1つとの相対する表面間に、距離Bが規定される。距離Bは、例えば、約1mmより大きくてよい。アンテナ220と隣接するアンテナとの間に形成された電場の強度は、距離Bと相互に関連する。例えば、より小さい距離Bは、より強い電場と相互関連する。したがって、より強い電場が望まれる実施形態においては、より小さい距離Bが有利であり得る。
動作中、電源170は、第1の端子210に電圧を供給し得、及び/または、電源170’は、第2の端子211に電圧を供給し得る。電源170’は、電源170とほぼ同様であり得る。供給された電圧によって、1または複数のアンテナ220の各アンテナと、1または複数のアンテナ221の各アンテナとの間に電場が作り出される。電場は、1または複数のアンテナ220の各アンテナと、1または複数のアンテナ221の隣接するアンテナとの間で、最も強力である。アンテナ220及び221の、交互のかつ位置合わせされた空間的関係によって、第1の表面134によって規定される面に平行な方向に電場が作り出される。基板140は、潜在画像線155が、電極アセンブリ116によって形成された電場の線と平行になるように、第1の表面134上に配置される。荷電種255は荷電しているため、荷電種255は、電場によって影響される。フォトレジスト層150内で光酸発生剤によって生成された荷電種255は、電場によって、電場の方向に駆動される。潜在画像線155と平行な方向に荷電種255を駆動することによって、ラインエッジラフネスが削減され得る。当該均一な方向動作は、両矢印270によって表される。対照的に、第1の端子210または第2の端子211に対して電圧が印加されていない場合、任意の特定の方向に荷電種255を駆動する電場は作り出されない。結果として、矢印270’に示すように、荷電種255はランダムに動き得る。他の実施形態においては、基板140は、アンテナ220、210に対して、異なる向きに配向され得る。例えば、アンテナ220、221は、潜在画像線155と平行であり得る。
図3は、内部に組み込まれた電極アセンブリ118の一実施形態を有する、図1の基板支持体アセンブリ138の一実施形態の、概略側断面図である。電極アセンブリ118は、本体124の第1の表面134と第2の表面126との間に組み込まれている。電極アセンブリ118は、第1の表面334及び第2の表面326を有する。第1の表面334と第2の表面326は互いに反対側であり、基板支持体アセンブリの第1の表面134に対してほぼ平行である。電極アセンブリ118の第1の表面334は、基板支持体アセンブリ138の第1の表面134に対して、第2の表面326よりも近接している。距離Dは、基板支持体アセンブリ138の第1の表面134を、電極アセンブリの第1の表面334から隔てている距離を表す。距離Dは、約0.1mmと約100mmとの間であり得る。例えば、距離Dは、約8mmと約14mmとの間であり得る。電極アセンブリ118によって第1の表面134及び/またはフォトレジスト層150に対して提供される電場の強度は、距離Dによって制御され得る。荷電種255の拡散速度は、電場の強度によって制御される。
基板支持体アセンブリ138は、外側表面348を有する。電極アセンブリ118は、外側表面328を有する。距離Eは、外側表面328と外側表面348との間のリムを表す。距離Eは、例えば、距離Dにとって好適な任意の距離であり得る。距離Eは、電極アセンブリ118の周囲で一定であり得るか、または、距離Eは変化し得る。電極アセンブリ118の厚さは、距離Tによって表される。距離Tは、上記のアンテナ220、221にとって好適な任意の厚さであり得る。示されるように、電極アセンブリ118は、電源174に連結される。電源174によって電極アセンブリ118に対して供給される電力の特性は、図1の電源170に関連して上記されたとおりであり得る。
図4Aは、処理容積112内に配置され、基板140上方で閉じ込めリング154によって保持された、中間媒体402を有する基板支持体アセンブリ138の一例を示す。中間媒体402が処理容積112内に配置されない場合、典型的には、基板140と電極アセンブリ116との間の処理容積112内に、空隙が規定される。処理容積112内に規定される空隙の寸法は、電極アセンブリ116が基板140に接近して位置している距離によって決定され得る。例えば、電極アセンブリ116が、基板140上に配置されたフォトレジスト層150の近くに位置している場合、処理容積112内には、より小さい寸法の空隙が規定され得る。対照的に、電極アセンブリ116が、基板140から比較的遠くに離れて位置している場合、処理容積112内には、より大きい寸法の空隙が規定され得る。
処理容積中に形成された空気媒体(即ち空隙)は、電極アセンブリ116、118に電圧が印加された際、不利なことに、電圧の低下という結果につながると考えられている。基板140中と空気中とで誘電率が大きく異なる(例えば基板140中では約11.7であり、空気中では1)ため、電極アセンブリ116に供給された電圧が基板140に電場を形成する際、基板140上に配置されたフォトレジスト層150に到達する前に、電圧が処理容積112内に形成された空隙を通じて伝送されると、しばしば電圧の顕著な低下が観測される。空気中の誘電率が低いため(例えば誘電率が1のため)、電極アセンブリ116から印加される電圧レベルが顕著に変化すると考えられている。こうして、処理容積112内に規定される空隙を代替するために、相対的に高い(例えば10より高い)誘電率の物質を挿入することによって、中に電場を形成するために印加される電圧は、基板140上に配置されたフォトレジスト層150に到達するまでの間、顕著な損失なしに、所望のレベルに維持され得る。一例においては、処理容積中に配置された中間媒体402は、電圧が顕著に低下することなく、中を通って伝達される電圧のレベルを維持するのを助けることができる、脱イオン水などの溶液、有機ゲル、無機溶液、または、高誘電率を有する他の好適な媒体であり得る。一例においては、(例えば約80の誘電率を有する)脱イオン水が、閉じ込めリング154によって閉じ込められた、基板支持体アセンブリ138上方の処理容積112の内部に配置され、位置する。
一例においては、中間媒体402は、処理容積112をほぼいっぱいに満たすため、中間媒体分注器173を介して、中間媒体源172から供給され得る。処理容積112中に配置された中間媒体402は、電極アセンブリ116の下表面406のごく近くに、インターフェース404を作り出し得る。処理容積112中の中間媒体源172が満たされた後、電極アセンブリ116と中間媒体源172との間に最小のまたは無視し得る距離407を保つように、電極アセンブリ116が下降され得る。そうすることによって、低誘電率の空隙によって生じる電圧低下の可能性が、大部分除去され得る。
図4Bに示すように、脱イオン水以外の種々の材料もまた、閉じ込めリング154によって閉じ込められた処理容量112の領域内に充填するための中間媒体源172として利用され得る。図4Bに示す例においては、9よりも高い誘電率を有するゲル/流動性有機物液滴(flowable organic droplets)410もまた、処理容積112内に充填するために使用され得る。ゲル/流動性有機物液滴410は、ゲル/流動性有機物液滴のインターフェース412が、最小限の及び/または無視し得る空隙の余地を残して、電極アセンブリ116の下表面406のごく近くに規定されるまで、基板140にスピンオンされ得る。閉じ込めリング154によって閉じ込められ、基板支持体アセンブリ138上方に規定された処理容積112を満たすのに必要なゲル/流動性有機物液滴410の量は、これらの構成要素の形状寸法による。基板140の表面に接する望まない空隙を残すことなく、基板上の処理容積112がほぼいっぱいになるまで、ゲル/流動性有機物液滴410が連続的に添加され得ることは、留意されたい。
図5Aは、下表面406から電極アセンブリ116の端部に配置された閉じ込めリング502を有する、電極アセンブリ116の他の実施形態を示す。電極アセンブリ116の下表面406の下に、下表面406に接触するように、閉じ込めリング502によって閉じ込められた領域内に配置されるため、液体媒体の代わりに固体媒体504が利用され得る。図5Bに示すように、固体媒体504が所定の場所に置かれた後、次いで電極アセンブリ116は、固体媒体504を基板140上に配置されたフォトレジスト層150と接触させるために、アクチュエータ190によって下降され得る。例えば所望の誘電率など、固体媒体504の品質及び特性を注意深く選択することによって、固体媒体504は、電極アセンブリ116と、基板支持体アセンブリ138中に配置された電極アセンブリ118との間の、印加される電圧レベルを電場の形成のために所望の範囲に維持するための良好な媒体としての役割を果たす。一例においては、固体媒体504は、基板140上に配置されたフォトレジスト層150の表面全体を覆い得るサイズを有する、固形のディスクであり得る。固体媒体504は、機械的結合または化学的結合を含む任意の好適な技法によって、電極アセンブリ116に取り付けられ得る。固体媒体504は、フォトレジスト層150の上方に位置する場合、閉じ込めリング502によって閉じ込められた位置、フォトレジスト層150のごく近くに、最小限の及び/または無視し得る空隙の余地で、配置され得る。本明細書に記載する「無視し得る余地」という語句は、10ミクロン未満の寸法の空間であり得ることは留意されたい。一例においては、固体媒体504は、10を超える高誘電率を有する材料から製造され得る。固体媒体504の好適な例には、水晶またはTiOが含まれる。
図6は、本開示の実施形態による、基板処理に使用され得る代表的一処理システム600を示す。示されるように、処理システム600には、ロードポート610、コーティングチャンバ620、処理チャンバ100、露光チャンバ630(例えばスキャナ)、第2の処理チャンバ100、現像チャンバ640、及び後処理チャンバ650が含まれる。処理システム600の各処理チャンバは、移送チャンバ605または移送チャンバ615によって、隣接するそれぞれのチャンバに連結される。移送チャンバ605及び移送チャンバ615は、ほぼ同じであっても良く、違っていてもよい。
負荷ポート610は、基板を処理システム600に搬入する、または処理システム600から搬出するために使用され得る。コーティングチャンバ620は、例えば、基板にフォトレジストを塗布するために使用され得る。コーティングチャンバ620は、例えば、スピンコーターであり得る。露光チャンバ630は、基板上のフォトレジスト層内に潜在的な酸の画像を形成するため、基板を電磁エネルギーに露光するのに使用され得る。現像チャンバ640は、例えば、フォトレジスト層の部分を除去するために使用され得る。後処理チャンバ650は、例えば、基板に対して様々な後処理のステップを実施するために使用され得る。処理チャンバ100は、露光前ベーク、露光後ベーク、及び/または他の処理のステップに使用され得る。上記のように、処理チャンバ100は、1または複数の電極アセンブリ118、遠隔プラズマ源160、及び磁石196を含み得る。しかし、コーティングチャンバ620、露光チャンバ630、及び現像チャンバ640もまた、同様に設けられ得ることは理解されたい。
図7は、基板140といった基板を処理するための、代表的方法700のフロー図である。基板140を処理するための方法700は、複数の段階を有する。各段階は、(文脈からその可能性が除外されている場合を除いて)任意の順序でまたは同時に実施され得、方法は、(文脈からその可能性が除外されている場合を除いて)いかなる既定の段階よりも前に、または既定の段階のうちの2つの段階の間に、または既定の全段階の後に実施される、1または複数の他の段階を含むことができる。全ての実施形態に必ずしも全ての段階が含まれなくてよい。
一般的に、方法700は、光酸発生剤を含有するフォトレジストを基板140に塗布することによって、工程710で開始される。工程710において、フォトレジストは、フォトレジスト層150を形成するために基板140に塗布される。フォトレジスト層150は、例えば、処理システム600に含まれるコーティングチャンバ620といったスピンコート装置の内部で、スピンコートによって塗布される。こうした実施形態においては、基板140は、負荷ポート610を経由して処理システム600内に搬入され得、その後、移送チャンバ605を経由してコーティングチャンバ620へ移送され得る。
フォトレジストは、溶媒、フォトレジスト樹脂、及び光酸発生剤を含み得る。フォトレジスト樹脂は、任意のポジ型のフォトレジスト樹脂、または任意のネガ型のフォトレジスト樹脂であり得る。代表的なフォトレジスト樹脂には、アクリレート、ノボラック樹脂、ポリメタクリル酸メチル、及びポリオレフィンスルホンが含まれる。他のフォトレジスト樹脂もまた、使用され得る。
フォトレジスト層150が電磁放射に露光されるのに先立ち、光酸発生剤は、酸性カチオン及びアニオンといった荷電種255を生成する。光酸発生剤は、分極種もまた生成し得る。光酸発生剤は、樹脂に、電磁放射に対する感光性を与える。代表的な光酸発生剤には、例えば、スルホン酸塩類、スルホン酸エステル、及びスルホニルオキシケトンといった、スルホン酸化合物が含まれる。他の好適な光酸発生剤には、アリールジアゾニウム塩、ハロニウム塩、芳香族スルホニウム塩、及びスルホキソニウム塩といったオニウム塩、またはセレニウム塩が含まれる。他の代表的な光酸発生剤には、ニトロベンジルエステル、s−トリアジン誘導体、イオン性スルホン酸ヨードニウム(ionic iodonium sulfonates)、パーフルオロアルカンスルホン酸、アリールトリフラート、並びにこれらの誘導体及び類似体、ピロガロール誘導体、並びにアルキルジスルホンが含まれる。他の光酸発生剤もまた、使用され得る。
次いで工程720において、基板140は、露光前ベークプロセスによって加熱される。露光前ベークプロセスの間、フォトレジスト用溶媒を部分的に蒸発させるため、基板が加熱される。工程720における露光前ベーク及び工程710におけるフォトレジストの塗布は、同一のチャンバで起こってもよく、異なるチャンバで起こってもよい。例えば、工程710、720は双方とも、スピンコーター内で起こってもよく、基板140が異なる処理チャンバに移送されてもよい。例えば、処理システム600を使用する実施形態では、基板140はコーティングチャンバ620から、移送チャンバ605を経由して処理チャンバ100へと移送され得る。
次いで工程730においては、リソグラフィの露光プロセス用に基板140を電磁放射に露光するため、基板140は露光チャンバ630へと移送される。基板140及びフォトレジスト層150の一部は、電磁放射に露光される。露光の間、フォトレジスト層150の一部は選択的に露光され、フォトレジスト層150の一部は、選択的に露光されない。電磁放射に露光されたフォトレジスト層150の一部は、電磁放射に露光されないフォトレジスト層150の一部とは、異なる化学的特性を有し得る。光酸発生剤によって生成された荷電種255は、結果的にレジスト樹脂中の潜在的な酸の画像になる。幾つかの実施形態においては、フォトマスクまたはレチクルは、フォトレジスト層150の間に配置され得、フォトレジスト層150は、マスクまたはレチクルを通して電磁放射に露光され得る。マスクまたはレチクルは、フォトレジスト層150に対して線を含むパターンを転写するように構成され得る。他の実施形態においては、線を含むパターンが、マスクレスリソグラフィ技法を用いてフォトレジスト層150に転写され得る。転写された潜在画像線155は、任意の所望の長さ、幅及び潜在画像線155間の間隔を有し得る。例えば、幾つかの実施形態においては、ラインの幅とラインの間隔は、約10nmと約16nmの間であり得る。他の実施形態においては、ラインの幅と間隔は、約10nm未満であっても良く、約16nmより大きくてもよい。幾つかの実施形態においては、潜在画像線155の長さは、当該潜在画像線155の幅の約150%の大きさであり得る。他の実施形態においては、潜在画像線155の長さは、例えば、当該潜在画像線155の幅の約1000%よりも大きいというように、当該潜在画像線155の幅の約200%よりも大きくてよい。
電磁放射は概して、フォトレジスト層150を露光させるのに好適な波長を有する。例えば、電磁放射は、約10nmと約124nmの間といった、超紫外線(EUV)の範囲の波長を有し得る。他の実施形態においては、電磁放射は、フッ化アルゴンレーザによって生成され得る。こうした実施形態においては、電磁放射は、約193nmの波長を有し得る。幾つかの実施形態においては、波長は248nmであり得る。他の実施形態においては、異なる波長が使用され得る。幾つかの実施形態においては、電磁放射は、電子ビームまたはイオンビームからである。
露光後、工程740において、基板140は、工程740で電磁放射に露光されたフィルムの特性を変化させる露光後ベークプロセスのため、露光後ベーク段階で加熱される。基板140は、露光後ベークプロセスのため、露光チャンバ630から移送チャンバ615を経由して処理チャンバ100に移送され得る。基板140は、基板支持体アセンブリ138の第1の表面134上に配置され得る。基板140を加熱するため、電源174は、組み込み型ヒータ132に電力を供給し得る。組み込み型ヒータ132は、基板140及びフォトレジスト層150を迅速に加熱し得る。例えば、組み込み型ヒータ132は、フォトレジスト層150の温度を、外気温から、例えば約90°Cと約140°Cの間といった、約70°Cと約160°Cの間の温度まで、約2秒未満で上昇させ得る。
工程740における露光後ベークの間、フォトレジスト層150内の光酸発生剤は、フォトレジスト層150の露光された部分の化学的特性を変化させ続け得る。工程740において露光後ベークプロセスを実施している間、ベークプロセスに加えて、工程701に記載のとおりフォトレジスト層150に電場が形成され得る。電極アセンブリ116及び/または電極アセンブリ118の間に、電場をかけている間、荷電種255は、電場、磁場及びプラズマのうちの少なくとも1つによって、所望の方向にガイドされ得る。磁場は、例えば、磁石196によって形成され得る。プラズマは、例えば、遠隔プラズマ源160によって生成され得る。中間媒体402、ゲル/流動性有機物液滴410、または固体媒体504を処理容積112中で利用することによって、電場形成のために電極アセンブリ116、118に印加される電圧の低下/喪失の可能性が、顕著に低減または除去され得る。フォトレジスト層150に電場を形成する間、フォトレジスト層150の種々の場所における電場強度を変化させる必要に応じて、基板140は、電極アセンブリ116、118に対する相対的な移動をしてもしなくてもよい。
上記のように、荷電種255は、任意の工程または任意の工程の組み合わせにおいてガイドされ得る。幾つかの実施形態においては、荷電種255は、一工程においては潜在画像線155に対して1つの方向にガイドされ、別の工程においては潜在画像線155に対して別の方向にガイドされる。例えば、露光工程730の間には、荷電種255は第1の表面134に垂直な方向にガイドされ得、露光後ベーク工程740の間には、荷電種255は潜在画像線155の方向に、または、潜在画像線155の方向及び第1の表面134に垂直な方向の両方に、ガイドされ得る。他の実施形態においては、荷電種は、露光工程730の間は、潜在画像線155の方向、または、潜在画像線155の方向と第1の表面134に垂直な方向の両方にガイドされ得、工程740の露光後ベークの間は、第1の表面134に垂直な方向にガイドされ得る。幾つかの実施形態においては、荷電種255は、単一のフェーズ内で、種々の方向にガイドされ得る。例えば、露光工程または露光後ベーク工程においては、荷電種255は、段階の一部の間は、第1の表面134と垂直な方向にガイドされ得、段階の一部の間は、第1の表面134と垂直な方向及び潜在画像線の方向に沿った方向に、ガイドされ得る。こうしたガイド方向の変化は、垂直の磁場をかけている間に、磁場をオン/オフでトグルすることによって達成され得る。
続いて、工程750において、フォトレジスト層を現像するため、基板140の電磁放射に露光されたまたは露光されなかったエリアに対して、現像プロセスが実施される。一実施形態においては、工程740の後、基板140は、図6に示す電像チャンバ640といった現像チャンバに移送される。処理システム600を使用する実施形態においては、基板140は処理チャンバ100から、移送チャンバ605を経由して現像チャンバ640へと移送され得る。現像チャンバ640は、1または複数の電源及び/またはアクチュエータ190に連結された電極アセンブリ116及び磁石196もまた含み得る。コーティングチャンバ620に関連して記載されるように、基板140は、現像チャンバ640内で、電極アセンブリ116及び磁石196に関連して配置され得る。
工程750において、フォトレジスト層150は、例えば、フォトレジスト層150を水酸化ナトリウム溶液、テトラメチルアンモニウムヒドロキシド水溶液、キシレン、またはストダード溶剤といった現像液に曝すことによって、現像され得る。基板140は、例えば、水または酢酸n−ブチルによってリンスされ得る。工程750における現像プロセスの後は、潜在画像線155は、もはや潜在的でなくてよい。基板140上の線155は、従来の技法に比べると、ラインエッジラフネス/ライン幅ラフネスがより少ない。
続いて、工程760において、現像プロセス後の後処理を基板に行うため、基板140に対して後処理プロセスが実施され得る。後処理プロセスは、例えば、図6に示す後処理チャンバ650内で実施され得る。処理システム600を使用する実施形態においては、基板140は、後処理のため、現像チャンバ640から、移送チャンバ605を経由して後処理チャンバ650へと移送され得る。例えば、リンスの後、基板140はハードベークされ検査され得る。検査の後、基板140にはエッチングプロセスが実施され得る。パターンを層145に転写するため、エッチングプロセスでは、線155といった、フォトレジスト層150の特徴部が使用される。
工程710でフォトレジスト層を基板に塗布するプロセスを実施している間、工程720で基板を加熱している間、工程730で基板を電磁波に露光している間、工程740で基板を加熱している間、工程750で基板を現像している間、及び工程760で基板を後処理している間、工程701で示したように電場を形成し、光酸発生剤によって生成された荷電種255を、x−y平面に平行かつ潜在画像線155の方向、x−y平面に平行かつ潜在画像線155に垂直な方向、異なる方向、またはそれらの組み合わせ、といった所望の方向にガイドするため、電圧が印加され得る。電極アセンブリ116と基板140との間に規定される可能性がある空隙を回避するため、この両者の間に配置された中間媒体402、ゲル/流動性有機物液滴410、または固体媒体504と共に、電場を形成するためにそれらに印加された電圧は、そのとき、望まない電圧の低下または喪失なしに、所望のレベルで維持される。
上記の各実施形態は、以下を含む多数の利点を有する。例えば、本明細書で開示される実施形態は、ラインエッジラフネス/ライン幅ラフネスの低減のために電極アセンブリと基板上に配置されたフォトレジスト層の間に電場をかけている間の、電圧の低下/喪失を削減または除去し得る。電圧の低下/喪失の削減または除去は、電極アセンブリ116と基板140との間に規定される可能性がある空隙を回避するため、この両者の間に配置された中間媒体402、ゲル/流動性有機物液滴410、または固体媒体504を利用することによって、獲得され得る。上記の利点は例示的であり、限定的ではない。全ての実施形態が、全ての利点を有する必要はない。
上記は本発明の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他のさらなる実施形態を考案することもでき、本発明の範囲は、以下の特許請求の範囲によって決定される。
100 処理チャンバ
102 チャンバ壁
104 供給源
105 供給導管
106 側壁
110 リッドアセンブリ
112 処理容積
114 ポンピングポート
116 電極アセンブリ
118 電極アセンブリ
124 本体
126 第2の表面
132 組み込み型ヒータ
134 第1の表面
138 基板支持体アセンブリ
140 基板
142 ステム
145 層
146 ベローズ
150 フォトレジスト層
154 閉じ込めリング
155 潜在画像線
160 遠隔プラズマ源
170 電源
171 ノズル
172 中間媒体源
173 中間媒体分注器
174 電源
176 電源
180 入り口
190 アクチュエータ
196 磁石
210 第1の端子
211 第2の端子
220 アンテナ
221 アンテナ
225 終端部
230 支持構造物
255 荷電種
258 第1の電極
260 第2の電極
270 矢印
326 第2の表面
328 外側表面
334 第1の表面
348 外側表面
402 中間媒体
404 インターフェース
406 下表面
407 無視し得る距離
410 流動性有機物液滴
412 インターフェース
502 閉じ込めリング
504 固体媒体
600 代表的一処理システム
600 処理システム
605 チャンバ
610 ロードポート
615 チャンバ
620 コーティングチャンバ
630 露光チャンバ
640 現像チャンバ
650 後処理チャンバ
700 方法
700 代表的方法
701 工程
710 工程
720 工程
730 工程
740 工程
750 工程
760 工程

Claims (15)

  1. 基板上に配置されたパターニングされた構造の外表面上にスペーサ層を共形に形成することであって、前記パターニングされた構造が、間に規定された第1のグループの開口部を有する、形成することと、
    前記基板上に形成された前記スペーサ層の第1の部分を、前記スペーサ層の第2の部分を処理することなく、選択的に処理することと、
    前記スペーサ層の前記処理された第1の部分を選択的に除去すること
    を含む、マルチパターニングプロセスの間にスペーサ層を堆積及びパターニングするための方法。
  2. 前記パターニングされた構造が、アモルファスカーボン材料、窒化ケイ素、二酸化ケイ素、または炭化ケイ素を含む、請求項1に記載の方法。
  3. 前記スペーサ層がポリシリコンまたはアモルファスシリコンを含む、請求項1に記載の方法。
  4. 前記基板上にスペーサ層を共形に形成するのに先立って、前記基板を前処理すること
    をさらに含む、請求項1に記載の方法。
  5. 前記基板を前処理することが、
    不活性ガスを含む前処理用混合ガスを前記基板に供給することと、
    前記基板の温度を摂氏約200度と約400度の間に維持することをさらに含む、請求項1に記載の方法。
  6. 頂部の誘導結合ソース電力を約2000ワットで印加し、側部の誘導結合ソース電力を約4000ワットで印加すること
    をさらに含む、請求項5に記載の方法。
  7. 前記スペーサ層を共形に形成することが、
    シリコンベースのガス及びNガスを含む堆積用混合ガスを供給することをさらに含む、請求項1に記載の方法。
  8. 前記堆積用混合ガスを供給することが、
    6500ワット未満の誘導結合ソース電力を前記混合ガスに印加することと、
    100ワットと約500ワットの間のRFバイアス電力を前記混合ガスに印加することをさらに含む、請求項7に記載の方法。
  9. 前記スペーサ層の前記第1の部分を選択的に処理することが、
    不活性ガスを含む堆積後処理用混合ガスを前記基板に供給することをさらに含む、請求項1に記載の方法。
  10. 前記堆積後処理用混合ガスを供給することが、
    RFソース電力なしに、250ワットと約1500ワットの間のRFバイアス電力を前記堆積後処理用混合ガスに印加することをさらに含む、請求項9に記載の方法。
  11. 前記スペーサ層の前記第1の部分を選択的に処理することが、
    前記スペーサ層の側壁及びコーナーを処理することなしに、前記スペーサ層の頂面及び底面を選択的に処理することをさらに含む、請求項1に記載の方法。
  12. 前記スペーサ層の前記処理された第1の部分を選択的に除去することが、
    アンモニア(NH)ガス及び三フッ化窒素(NF)ガスを含む選択的除去用混合ガスを供給することと、
    前記基板への前記混合ガスに対して遠隔プラズマ源を適用することをさらに含む、請求項1に記載の方法。
  13. 前記スペーサ層の前記処理された第1の部分を選択的に除去することが、
    前記スペーサ層の側壁及びコーナーを含む前記第2の部分を実質的にアタックすることなしに、前記スペーサ層の頂面及び底面を含む前記スペーサ層の前記第1の部分を優位にエッチングすることをさらに含む、請求項1に記載の方法。
  14. 前記基板から前記パターニングされた構造を除去することと、
    エッチングされたスペーサ層内に、前記第1のグループの開口部の寸法よりも小さい寸法で、第2のグループの開口部を形成することと
    をさらに含む、請求項1に記載の方法。
  15. 前処理プロセス、共形堆積プロセス、選択的処理プロセス、及び選択的除去プロセスが、全て単一の処理チャンバ内で実施される、請求項13に記載の方法。
JP2020172369A 2015-06-08 2020-10-13 フィールドガイドによる埋設式露光、及び露光後ベークプロセス Active JP7094336B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/733,923 2015-06-08
US14/733,923 US9829790B2 (en) 2015-06-08 2015-06-08 Immersion field guided exposure and post-exposure bake process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016112808A Division JP2017034233A (ja) 2015-06-08 2016-06-06 フィールドガイドによる埋設式露光、及び露光後ベークプロセス

Publications (2)

Publication Number Publication Date
JP2021040139A true JP2021040139A (ja) 2021-03-11
JP7094336B2 JP7094336B2 (ja) 2022-07-01

Family

ID=56690739

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016112808A Pending JP2017034233A (ja) 2015-06-08 2016-06-06 フィールドガイドによる埋設式露光、及び露光後ベークプロセス
JP2016002591U Active JP3205856U (ja) 2015-06-08 2016-06-06 フィールドガイドによる埋設式露光、及び露光後ベークプロセス
JP2020172369A Active JP7094336B2 (ja) 2015-06-08 2020-10-13 フィールドガイドによる埋設式露光、及び露光後ベークプロセス

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2016112808A Pending JP2017034233A (ja) 2015-06-08 2016-06-06 フィールドガイドによる埋設式露光、及び露光後ベークプロセス
JP2016002591U Active JP3205856U (ja) 2015-06-08 2016-06-06 フィールドガイドによる埋設式露光、及び露光後ベークプロセス

Country Status (5)

Country Link
US (1) US9829790B2 (ja)
JP (3) JP2017034233A (ja)
KR (1) KR102610050B1 (ja)
CN (2) CN206057801U (ja)
TW (1) TWI716410B (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
US10276379B2 (en) * 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
KR102443698B1 (ko) 2018-03-16 2022-09-15 삼성전자주식회사 집적회로 소자의 제조 방법
US10515812B1 (en) * 2018-08-13 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing pattern roughness in semiconductor fabrication
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
WO2020149903A1 (en) 2019-01-18 2020-07-23 Applied Materials, Inc. A film structure for electric field guided photoresist patterning process
KR20220031649A (ko) * 2019-06-28 2022-03-11 램 리써치 코포레이션 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR102323438B1 (ko) * 2020-02-25 2021-11-05 연세대학교 산학협력단 전기장 셰이핑 장치 및 전기장을 이용한 타겟 처리 장치
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
US20230045336A1 (en) * 2020-07-07 2023-02-09 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
US20220199414A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
EP4291954A1 (en) * 2021-02-15 2023-12-20 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
TWI821915B (zh) * 2021-02-25 2023-11-11 日商東京威力科創股份有限公司 具有原位電偏壓的半導體處理系統

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106235A (ja) * 1993-10-08 1995-04-21 Matsushita Electric Ind Co Ltd パターン形成方法
US20030008246A1 (en) * 2001-04-20 2003-01-09 Cheng Mosong Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
JP2003124088A (ja) * 2001-08-08 2003-04-25 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP2006032605A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
JP2006135135A (ja) * 2004-11-08 2006-05-25 Tokyo Electron Ltd 熱処理装置、及び熱処理方法
US20060189146A1 (en) * 2005-02-18 2006-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
WO2009101869A1 (ja) * 2008-02-15 2009-08-20 Tokyo Electron Limited 塗布・現像装置および塗布・現像方法
WO2014024309A1 (ja) * 2012-08-10 2014-02-13 富士通株式会社 Qcmセンサとその製造方法
KR20140089854A (ko) * 2013-01-07 2014-07-16 삼성전자주식회사 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법
JP2014135464A (ja) * 2012-06-15 2014-07-24 Tokyo Electron Ltd 成膜装置、基板処理装置及び成膜方法
JP3205856U (ja) * 2015-06-08 2016-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フィールドガイドによる埋設式露光、及び露光後ベークプロセス

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03159114A (ja) 1989-11-16 1991-07-09 Mitsubishi Electric Corp 微細パターンの形成方法
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6830389B2 (en) * 2000-10-25 2004-12-14 Advanced Micro Devices, Inc. Parallel plate development with the application of a differential voltage
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
US7838205B2 (en) 2006-07-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
US20100029093A1 (en) * 2006-09-29 2010-02-04 Tokyo Electron Limited Plasma oxidizing method, plasma processing apparatus, and storage medium
US8293460B2 (en) * 2008-06-16 2012-10-23 Applied Materials, Inc. Double exposure patterning with carbonaceous hardmask
US8097402B2 (en) * 2009-03-31 2012-01-17 Tokyo Electron Limited Using electric-field directed post-exposure bake for double-patterning (D-P)
US20120085747A1 (en) * 2010-10-07 2012-04-12 Benson Chao Heater assembly and wafer processing apparatus using the same
CN103180932A (zh) * 2010-10-27 2013-06-26 应用材料公司 用于控制光刻胶线宽粗糙度的方法及设备
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106235A (ja) * 1993-10-08 1995-04-21 Matsushita Electric Ind Co Ltd パターン形成方法
US20030008246A1 (en) * 2001-04-20 2003-01-09 Cheng Mosong Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
JP2003124088A (ja) * 2001-08-08 2003-04-25 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP2006032605A (ja) * 2004-07-15 2006-02-02 Tokyo Electron Ltd 基板加熱装置及び基板加熱方法
JP2006135135A (ja) * 2004-11-08 2006-05-25 Tokyo Electron Ltd 熱処理装置、及び熱処理方法
US20060189146A1 (en) * 2005-02-18 2006-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
WO2009101869A1 (ja) * 2008-02-15 2009-08-20 Tokyo Electron Limited 塗布・現像装置および塗布・現像方法
JP2014135464A (ja) * 2012-06-15 2014-07-24 Tokyo Electron Ltd 成膜装置、基板処理装置及び成膜方法
WO2014024309A1 (ja) * 2012-08-10 2014-02-13 富士通株式会社 Qcmセンサとその製造方法
KR20140089854A (ko) * 2013-01-07 2014-07-16 삼성전자주식회사 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법
JP3205856U (ja) * 2015-06-08 2016-08-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フィールドガイドによる埋設式露光、及び露光後ベークプロセス

Also Published As

Publication number Publication date
KR20160144329A (ko) 2016-12-16
TW201643562A (zh) 2016-12-16
JP3205856U (ja) 2016-08-18
CN206057801U (zh) 2017-03-29
KR102610050B1 (ko) 2023-12-04
CN106249554A (zh) 2016-12-21
CN106249554B (zh) 2021-04-02
US9829790B2 (en) 2017-11-28
JP7094336B2 (ja) 2022-07-01
JP2017034233A (ja) 2017-02-09
TWI716410B (zh) 2021-01-21
US20160357107A1 (en) 2016-12-08

Similar Documents

Publication Publication Date Title
JP7094336B2 (ja) フィールドガイドによる埋設式露光、及び露光後ベークプロセス
JP6582081B2 (ja) 電場/磁場案内された酸拡散
US9280070B2 (en) Field guided exposure and post-exposure bake process
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
TWI830683B (zh) 用於電場引導的光阻劑圖案化製程的膜結構
US9927709B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9996006B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
WO2016060759A1 (en) Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
JP2023518754A (ja) フォトレジストパターニングのためのリソグラフィプロセスウインドウ強化
US20220091513A1 (en) Film structure for electric field assisted bake process

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201112

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220524

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220621

R150 Certificate of patent or registration of utility model

Ref document number: 7094336

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150