TWI716410B - 浸沒場引導的曝光和曝光後烘烤製程 - Google Patents

浸沒場引導的曝光和曝光後烘烤製程 Download PDF

Info

Publication number
TWI716410B
TWI716410B TW105117858A TW105117858A TWI716410B TW I716410 B TWI716410 B TW I716410B TW 105117858 A TW105117858 A TW 105117858A TW 105117858 A TW105117858 A TW 105117858A TW I716410 B TWI716410 B TW I716410B
Authority
TW
Taiwan
Prior art keywords
substrate
electrode
base plate
processing
electrode element
Prior art date
Application number
TW105117858A
Other languages
English (en)
Other versions
TW201643562A (zh
Inventor
布希博格二世道格拉斯A
南象基
芭芭洋維亞契史拉維
歐陽盼盼
葛迪魯多維
奈馬尼史林尼法斯D
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201643562A publication Critical patent/TW201643562A/zh
Application granted granted Critical
Publication of TWI716410B publication Critical patent/TWI716410B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • G03F7/0022Devices or apparatus
    • G03F7/0032Devices or apparatus characterised by heat providing or glossing means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Toxicology (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Plasma Technology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文中公開了用於在光刻製程期間向光刻膠層施加電場和/或磁場而無氣隙介入的裝置和方法。在一個實施方式中,一種裝置包括處理腔室,該處理腔室包括:基板材支撐件,該基板材支撐件具有基板材支撐表面;熱源,該熱源嵌入在該基板材支撐件中,配置用於將定位在該基板材支撐表面上的基板材加熱;電極元件,該電極元件被配置成在基本上垂直於該基板材支撐表面的方向上產生電場,其中該電極元件與該基板材支撐表面相對地定位,使該電極元件的向下表面面對該基板材支撐表面,其中該電極元件與該基板材支撐件間隔開,以便在該電極元件與該基板材支撐表面之間限定處理容積;以及約束環,該約束環設置在該基板材支撐件或該電極元件的邊緣,配置用於保持中間介質。

Description

浸沒場引導的曝光和曝光後烘烤製程
本公開大體上涉及用於處理基板材的方法和裝置,並且更具體地涉及用於改進光刻製程的方法和裝置。
積體電路已發展成可在單個晶圓上包括數百萬個部件(例如,電晶體、電容器和電阻器)的複雜器件。光刻可用來在晶圓上形成部件。一般來說,光刻製程涉及幾個基礎階段。首先,在基板材上形成光刻膠層。 光刻膠層可通過例如旋塗來形成。化學放大的光刻膠可包括抗蝕樹脂和光致酸產生劑。當在後續曝光階段中暴露於電磁輻射下後,光致酸產生劑在顯影製程中改變光刻膠溶解度。電磁輻射可具有諸如極紫外線區域中的波長的任何合適波長。電磁輻射可來自於任何合適的源,例如像193nm ArF雷射器、電子束、離子束、或其他源。隨後,在曝光前烘烤製程中,可將多餘溶劑除去。
在曝光階段中,光罩或光罩可用來選擇性地將基板材的某些區域暴露於電磁輻射下。其他曝光方法可為無掩模式曝光方法。暴露於光可使光致酸產生劑分解,以產生酸並且在抗蝕樹脂中產生了酸潛像(latent acid image)。在曝光後,可在曝光後烘烤製程中對基板材進行加熱。在曝光後烘烤製程中,光致酸產生劑產生的酸會與抗蝕樹脂反應,以在後續顯影製程期間改變抗蝕劑溶解度。
在曝光後烘烤之後,可顯影並沖洗基板材並尤其是光刻膠層。根據所使用的光刻膠的類型,基板材的暴露於電磁輻射的區域可不易移除或更易移除。在顯影和沖洗後,使用濕法蝕刻製程或幹法蝕刻製程將掩模圖案轉移到基板材。
晶圓設計發展不斷要求更快電路以及更大電路密度。對更大電路密度的需求要求積體電路部件尺寸減小。當積體電路部件尺寸減小時,需要更多元件放置在半導體積體電路上的給定區域中。因此,光刻製程必須將甚至更小的特徵轉移到基板材上,而光刻必須精確、準確進行這個操作而不造成損壞。為將特徵精確且準確地轉移到基板材上,高解析度光刻可使用提供小波長輻射的光源。小波長有助於減小基板材或晶圓上的最小可印刷的尺寸。然而,小波長光刻存在以下問題,諸如低產量、線邊緣粗糙度增大和/或抗蝕劑敏感度降低。
在最近發展中,電極元件用於在曝光製程之前或之後向設置在基板材上的光刻膠層產生電場,以便修改光刻膠層的電子輻射透射到的一部分的化學性質,從而改進光刻曝光/顯影解析度。然而,對接近光刻膠層而產生的電場的不準確的場強控制可導致透射到光刻膠 層以改變化學性質的電場能量不充足。此外,在基板材與電極元件之間由於從兩者之間的不同中間介質透射而造成的不當的壓降還會影響生成到基板材上設置的光刻膠層的電場強度。
因此,需要一種用於通過對產生到光刻膠層的電場產生的改進控制而使光刻製程改進的方法和裝置。
本文中公開了用於在光刻製程期間向光刻膠層施加電場和/或磁場而無氣隙介入的裝置和方法。在一個實施方式中,一種裝置包括處理腔室,該處理腔室包括:基板材支撐件,該基板材支撐件具有基板材支撐表面;熱源,該熱源嵌入在該基板材支撐件中,配置用於將定位在該基板材支撐表面上的基板材加熱;電極元件,該電極元件被配置成在基本上垂直於該基板材支撐表面的方向上產生電場,其中該電極元件與該基板材支撐表面相對地定位,使該電極元件的向下表面面對該基板材支撐表面,其中該電極元件與該基板材支撐件間隔開,以便在該電極元件與該基板材支撐表面之間限定處理容積;以及約束環,該約束環設置在該基板材支撐件或該電極元件的邊緣,配置用於保持中間介質。
在另一實施方式中,一種處理腔室包括:基板材支撐件,該基板材支撐件包括基板材支撐表面;電極元件,該電極元件包括第一電極和第二電極,該第一 電極設置在該基板材支撐件中,該第二電極與該基板材支撐表面相對地定位,該第一電極和該第二電極在它們兩者間限定處理容積,該電極元件被配置成在基本上垂直於該基板材支撐表面的方向上產生電場;以及中間介質,該中間介質定位在該處理容積中。
在又一實施方式中,提供一種基板材處理方法,該方法包括:將基板材上設置的光刻膠層的部分暴露於電磁輻射下,以便通過光致酸產生劑在該光刻膠層中產生帶電荷的物種並且在該光刻膠層中形成基本上平行的材料線路,該材料線路具有不同於該光刻膠層的未暴露於該電磁輻射的部分的化學性質;使得該光刻膠層浸沒在非氣相的中間介質中,而不暴露於空氣下;以及在將該光刻膠層浸沒在該中間介質中同時,將電場施加到該光刻膠層。
100‧‧‧處理腔室
102‧‧‧腔室壁
104‧‧‧供源
105‧‧‧供應導管
106‧‧‧側壁
108‧‧‧底部
110‧‧‧蓋組件
112‧‧‧處理容積
114‧‧‧泵送埠
116‧‧‧電極元件
118‧‧‧電極元件
124‧‧‧主體
126‧‧‧第二表面
132‧‧‧嵌入式加熱器
134‧‧‧第一表面
138‧‧‧基板材支撐組件
140‧‧‧基板材
142‧‧‧桿
145‧‧‧層
146‧‧‧波紋管
150‧‧‧光刻膠層
154‧‧‧約束環
155‧‧‧潛像線
160‧‧‧遠端電漿源
170‧‧‧電源
171‧‧‧噴嘴
172‧‧‧中間介質源
173‧‧‧中間介質分配工具
174‧‧‧電源
176‧‧‧電源
180‧‧‧入口
190‧‧‧致動器
196‧‧‧磁體
210‧‧‧第一端子
211‧‧‧第二端子
220‧‧‧天線
221‧‧‧天線
225‧‧‧端部
230‧‧‧支撐結構
255‧‧‧物種
258‧‧‧第一電極
260‧‧‧第二電極
270‧‧‧雙頭箭頭
326‧‧‧第二表面
328‧‧‧外側表面
334‧‧‧第一表面
348‧‧‧外側表面
402‧‧‧中間介質
404‧‧‧介面
406‧‧‧向下表面
407‧‧‧距離
410‧‧‧有機液滴
412‧‧‧介面
502‧‧‧約束環
504‧‧‧固態介質
600‧‧‧處理系統
605‧‧‧傳送腔室
610‧‧‧裝載埠
615‧‧‧傳送腔室
620‧‧‧塗佈腔室
630‧‧‧曝光腔室
640‧‧‧顯影腔室
650‧‧‧後處理腔室
700‧‧‧方法
701‧‧‧操作
710‧‧‧操作
720‧‧‧操作
730‧‧‧操作
740‧‧‧操作
750‧‧‧操作
760‧‧‧操作
因此,為了能夠詳細理解本公開的上述特徵結構,上文所簡要概述的本公開的更具體的描述可以參考實施方式進行,一些實施方式示出在附圖中。然而,應當注意,附圖僅僅示出本公開的典型實施方式,並且因此不應視為限制本公開的範圍,因為本公開可允許其他等效實施方式。
圖1是根據一個實施方式的用於處理基板材的裝置的橫截面示意圖; 圖2是圖1的裝置的電極元件的實施方式的俯視圖;圖3是具有嵌入到其中的電極元件的一個實施方式的圖1的裝置的基板材支撐元件的一個實施方式的側視橫截面示意圖;圖4A-4B是根據本文中公開的實施方式的可用於處理基板材的中間介質的表示的示意圖;圖5A-5B是根據本文中公開的實施方式的可用於處理基板材的中間介質的表示的示意圖;圖6是根據本文中公開的實施方式的可用於處理基板材的一個代表性的群集處理系統的示意圖;以及圖7是根據一個實施方式的基板材處理方法的流程圖。
為了促進理解,已盡可能使用相同元件符號指定各圖所共有的相同元件。另外,一個實施方式中的元件可有利適用於本文中描述的其他實施方式。
提供用於最小化線邊緣/線寬度粗糙度並改進用於半導體應用的光刻製程中的曝光解析度(exposure resolution)的方法和裝置。本文中公開的方法和裝置可使光刻膠敏感度和光刻製程的生產率增加。在曝光後烘烤過程中光致酸產生劑產生的帶電荷的物種的隨機擴散促成線邊緣/線寬度粗糙度,並且減小 光刻膠敏感度。電極組件可用於在光刻製程期間將電場和/或磁場施加到光刻膠層。場施加可控制光致酸產生劑產生的帶電荷的物種的擴散。此外,在光刻膠層與電極元件之間使用中間介質,以便增強這兩者之間產生的電場。限定在光刻膠層與電極元件之間的氣隙可以產生施加到電極元件的壓降,因此,這不利地降低期望產生到光刻膠層的電場的水準。在光刻膠層處的電場的不準確的水準可導致不足夠或不準確的電壓功率來用於沿某些期望方向驅動或形成光刻膠層中的帶電荷的物種,由此導致對光刻膠層的線邊緣輪廓控制減弱。因此,中間介質放置在光刻膠層與電極元件之間,以便防止氣隙形成在這兩者之間,從而將與光刻膠層相互作用的電場的水準維持在某個期望水準。如此,可沿線和間距方向在期望方向上引導電場所產生的帶電荷的物種,從而防止由於不準確的隨機擴散而導致的線邊緣/線寬度粗糙度。因此,所產生的電場的受控水準或期望水準可使光刻膠層對於曝光和/或顯影製程的準確性和敏感度增大。在一個實例中,中間介質可為非氣相的介質,諸如漿料、凝膠、液體溶液或固態介質,當從電極元件傳輸到基板材上設置的光刻膠層時,該中間介質可有效地維持所施加的電壓在確定範圍上。
圖1是根據一個實施方式的用於處理基板材的裝置的橫截面示意圖。如圖1的實施方式中所示,裝置可呈真空處理腔室100形式。在其他實施方式中,處理 腔室100可不耦接到真空源。處理腔室100可為獨立處理腔室。或者,處理腔室100可為處理系統的一部分,該處理系統例如像圖6所示流線處理系統、群集處理系統或跟蹤處理系統(以下論述)。
處理腔室100包括腔室壁102、電極組件116和基板材支撐組件138。腔室壁102包括側壁106、蓋組件110和底部108。腔室壁102至少部分包圍處理容積112。處理容積112通過基板材傳送埠(未示出)訪問,該基板材傳送埠被配置成促成基板材140移動出入處理腔室100。在處理腔室100是處理系統的一部分的實施方式中,基板材傳送埠可允許將基板材140傳送進出傳送腔室。
泵送埠114可視情況設置穿過處理腔室100的蓋組件110、側壁106或底部108中的一者,以便將處理容積112耦接到排放埠。排放埠將泵送埠114耦接到諸如真空泵的各種真空泵送部件。泵送部件可減小處理容積112的壓力,並且將任何氣體和/或製程副產物排出至處理腔室100外部。
基板材支撐組件138居中設置在處理腔室100內。基板材支撐元件138在處理期間為基板材140提供支撐。基板材支撐元件138可以包括主體124,該主體封裝任選電極組件118(在圖3中描述)。主體124可以包含例如金屬(諸如鋁)或陶瓷。在主體124包含金屬的實施方式中,電極元件118可封裝在絕緣材料(未 示出)內,該絕緣材料使電極元件118與金屬主體124絕緣。電極元件118可耦接到電源174。在其他實施方式中,電極元件118可耦接到接地。在一些實施方式中,電極元件118被配置成產生平行於基板材支撐元件138的第一表面134限定的x-y平面的電場。例如,電極元件118可配置成在x-y平面的y方向、x方向或另一方向中的一者上產生電場。在其他實施方式中,電極元件118被配置成產生垂直於基板材支撐元件138的第一表面134限定的x-y平面的電場。
一般來說,基板材支撐元件138具有第一表面134和第二表面126。第一表面134與第二表面126相對。第一表面134被配置成支撐基板材140。第二表面126具有耦接到該第二表面126的桿142。基板材140定位在基板材支撐元件138的第一表面134上。基板材140可為任何類型基板材,諸如電介質基板材、玻璃基板材、半導體基板材或導電基板材。基板材140可具有設置在該基板材140上的層145。層145可為任何期望的層。在一些實施方式中,基板材140可以具有多於一個的層145。基板材140還具有設置在層145之上的光刻膠層150。基板材140先前已在光刻製程的曝光階段中暴露於電磁輻射。光刻膠層150具有通過曝光階段形成在該光刻膠層150中的潛像線155。潛像線155可以是基本上平行的。在其他實施方式中,潛像線155可基本上不平行。
在一些實施方式中,基板材支撐組件138可為靜電卡盤。在一些實施方式中,基板材支撐元件138的主體124可以包封嵌入式加熱器132。嵌入式加熱器132諸如電阻元件設置在基板材支撐元件138中。嵌入式加熱器132可控制地加熱基板材支撐組件138以及定位在該嵌入式加熱器132上的基板材140達到預定溫度。嵌入式加熱器132被配置成使基板材140的溫度快速爬升,並且控制基板材140的溫度。在一些實施方式中,嵌入式加熱器132連接到電源174並由該電源控制。電源174可配置成類似以下所論述的電源170。
在一些實施方式中,處理腔室100可包括其他加熱源。例如,熱燈可定位在處理腔室100內或定位在處理腔室100外。在一些實施方式中,可使用一或多個雷射器來加熱定位在基板材140或電極元件116的天線220、221(圖2所示)上的光刻膠層150(或其他層)。在一些實施方式中,基板材支撐元件138可配置成迴圈高效傳熱流體,以便更快速地增加定位在基板材支撐元件138上的基板材140的溫度。
在一些實施方式中,基板材支撐元件138可配置成提供第一表面134(以及定位在第一表面134上的基板材140)與電極元件116之間的相對運動。例如,基板材支撐元件138可配置成圍繞z軸旋轉。基板材支撐元件138可配置成連續或恆定地旋轉,或者基板材支撐元件138可配置成以步進的方式旋轉。例如,基板材支 撐元件138可旋轉預定量,諸如90°、180°或270°,並且隨後可使旋轉停止預定的時間量。在預定的時間量後,旋轉可以步進的方式或以連續的方式繼續。
基板材支撐元件138可配置成在z方向上(即)豎直移動。基板材支撐元件138可與電極元件116分離。例如,基板材支撐元件138和電極元件116可分離開至少約0.1mm的距離。約束環154設置在基板材支撐組件138的邊緣上,包圍基板材支撐組件138,沿z方向限定在基板材支撐元件138的第一表面134與電極元件116之間的距離d。約束環154可有助於維持基板材140定位在基板材支撐元件138的第一表面134上的期望位置處。此外,約束環154還可約束中間介質(即,非氣相的介質,諸如固體漿料、凝膠和/或液體介質),該中間介質定位在處理容積112中,位於光刻膠層150上方的適當位置處。在一個實例中,約束環154可具有足夠的長度(在約0.5mm與約10mm之間),即,限定距離d,該長度在中間介質設置在處理容積112中時足以保持中間介質(例如,物種和/或液體介質)處於覆蓋基板材140上設置的光刻膠層150的整個表面的程度。或者,約束環可設置在電極組件116的邊緣上,朝基板材支撐組件138的邊緣向下延伸,以有助於將基板材140引導到期望位置。在一個實例中,約束環154可由對定位在處理容積112中的中間介質有化學惰性的陶瓷材料、導電材料、電介質材料或其他合適材料製造。
在一個實例中,中間介質分配工具173穿過腔室壁102設置在處理腔室100中。中間介質分配工具173包括耦接到其上的噴嘴171,該噴嘴171被配置成將中間介質分配到處理容積112,由約束環154約束在基板材140上方。中間介質分配工具173被耦接到中間介質源172,該中間介質源172將中間介質提供到處理容積112。合適中間介質實例包括任何合適液體(諸如水、有機凝膠、樹脂、無機溶液、無機凝膠、漿料等等)或可容易地熔融並稍後重新固化以基本覆蓋基板材140的整個表面的任何固體材料。關於可用中間介質的細節將稍後參考圖4A-5B描述。
桿142被耦接到升降系統(未示出),以使基板材支撐元件138在升高處理位置(如圖所示)與降低基板材傳送位置之間移動。升降系統可以控制基板材140在z方向的位置。在一些實施方式中,還可將升降系統組態成使基板材140沿x方向、y方向或x方向和y方向移動。桿142另外提供用於在基板材支撐元件138與處理腔室100的其他部件之間的電和熱耦引線的導管。波紋管146耦接到基板材支撐組件138,以便在處理容積112與處理腔室100外的大氣之間提供真空密封,並且促成基板材支撐元件138在z方向上移動。
蓋元件110可視情況包括入口180,供源104所提供的氣體可通過該入口180來進入處理腔室100。供源104可視情況利用氣體(諸如氮、氬、氦、 氫、氖、氯、其他氣體或它們的組合)可控制地加壓處理容積112。來自供源104的氣體可以在處理腔室100內形成受控環境。在其他實施方式中,來自供源104的氣體可以用於產生電漿。例如,電漿可產生於遠端電漿源160中。供源104可通過供應導管105直接耦接到處理容積112。在一些實施方式中,如圖所示,一或多個源化合物可間接地流入處理容積112。如圖所示,在流入處理容積112前,一或多個源化合物首先流過遠端電漿源160。
遠端電漿源160可配置成將帶電荷的物種(諸如電子)提供到處理容積112中。該遠端電漿源可例如為電容耦合的電漿源或電感耦合的源。遠端電漿源160耦接到電源176。電源176可例如為RF電源。電源176可配置成遞送處於在10Hz與約1MHz(諸如約5kHz)之間的頻率的功率。在其他實施方式中,電源176可配置成遞送處於13.56MHz的功率。電源176和遠端電漿源160可配置成產生「軟」電漿。例如,所產生的電漿可包含有具有在約1eV與約1000eV之間(諸如在約5eV與約50eV之間)的離子能量的帶電荷的物種。在一些實施方式中,離子能量可以在約1eV與約5eV之間。軟電漿中的電子可用於在垂直於第一表面134的平面的方向上驅動從光致酸產生劑產生的帶電荷的物種255(圖2A和圖2B所示)。在z方向上驅動帶電荷的物種可使抗蝕劑敏感度增大。
在使用300mm基板材的代表性的實例中,軟電漿可如下產生。可設想,氣流可與基板材直徑成比例的縮放。氫氣可以在約10sccm與約1000sccm之間的流速提供到遠端電漿源160中。氬可視情況以高達約1000sccm的流速流入到遠程電漿源160中。電漿可從在約400W與約800W之間的RF功率在電感耦合的遠端電漿源160中產生。處理容積112的壓力可減小到至少10-5托。例如,壓力可減小到在約10-6托與約10-8托之間。基板材支撐元件138的溫度可根據需要維持為從室溫到約200℃,諸如在約70℃與約160℃,例如在約90℃與140℃之間。
致動器190可耦接在蓋元件110與電極元件116之間,以便提供電極元件116與基板材支撐元件138之間的相對運動。致動器190可配置成在x方向、y方向和z方向中的一或多個上移動電極組件116。x方向和y方向在本文中稱為側向方向或側向尺寸。致動器190使得電極元件116能夠掃描基板材140的表面。致動器190還使得能夠調整距離d。在一些實施方式中,電極元件116通過固定桿(未示出)來耦接到蓋組件110。在一些實施方式中,致動器190被配置成使得電極元件116圍繞z軸旋轉。在其他實施方式中,電極元件116可耦接到處理腔室100的底部108的內部、基板材支撐元件138的第二表面126或桿142。
電極組件116包括一或多個電極。電極元件116可耦接到電源170。在電極元件116包括多於一個電極的實施方式中,每個電極可連接到電源。在一些實施方式中,電極元件116被配置成產生平行於基板材支撐元件138的第一表面134限定的x-y平面的電場。例如,電極元件116可配置成在x-y平面的y方向、x方向或另一方向中的一者上產生電場。在一個實施方式中,電極元件116被配置成在x-y平面中並在潛像線155的方向上產生電場。在另一實施方式中,電極元件116被配置成在x-y平面中並垂直於潛像線155的方向產生電場。電極元件116可另外或替代地配置成在例如像垂直於第一表面134的z方向上產生電場。
電源170和/或電源174可配置成將例如在約500V與約100kV之間的電壓供應到電極元件116和/或電極元件118中的一或多個電極。在一些實施方式中,電源170和/或電源174是連續或脈衝直流(DC)電源或連續或脈衝AC電源。脈衝DC波和AC波可來自半波整流器或全波整流器。電源170和/或電源174可配置成提供處於在10Hz與約1MHz(諸如約5kHz)之間的頻率的功率。脈衝DC功率或AC功率的占空因素可以在約5%與約95%之間,諸如在約20%與約60%之間。在一些實施方式中,脈衝DC功率或AC功率的占空因素可以在約20%與約40%之間。在其他實施方式中,脈衝DC功率或AC功率的占空因素可為約60%。脈衝DC功 率或AC功率的上升時間和下降時間可以在約1ns與約1000ns之間,諸如在約10ns與約500ns之間。在其他實施方式中,脈衝DC功率或AC功率的上升時間和下降時間可以在約10ns與約100ns之間。在一些實施方式中,脈衝DC功率或AC功率的上升時間和下降時間可為約500ns。在一些實施方式中,電源170和/或電源174是交流電源。在其他實施方式中,電源170和/或電源174是直流電源。
如圖所示,電極組件116大致橫跨基板材支撐組件138的寬度。在其他實施方式中,電極元件116的寬度可以小於基板材支撐元件138的寬度。例如,電極元件116可以橫跨基板材支撐元件138的寬度的約10%至約80%之間,諸如約20%至約40%之間。在電極組件116的寬度短於基板材支撐組件138的寬度的實施方式中,致動器190可跨定位在基板材支撐元件138的第一表面134上的基板材140的表面來掃描電極元件116。例如,致動器190可掃描以使得電極元件116可對基板材140的整個表面進行掃描。在其他實施方式中,致動器190可僅掃描基板材140的某些部分。或者,基板材支撐元件138可在電極元件116下方進行掃描。
在一些實施方式中,一或多個磁體196可定位在處理腔室100中。在圖1所示實施方式中,磁體196被耦接到側壁106的內表面。在其他實施方式中,磁體196可定位在處理腔室100內或處理腔室100外的其他 位置。例如,磁體196可定位在處理腔室100內,並與底部108和/或蓋組件110相鄰。磁體196可例如為永久磁體或電磁體。代表性的永久磁體包括陶瓷磁體和稀土磁體。在磁體196包括電磁體的實施方式中,磁體196可耦接到電源(未示出)。磁體196被配置成在相對於電極元件116和/或電極元件118所產生的電場的平行方向、垂直方向或其他方向上產生磁場。磁體196可配置成在第一表面134上產生在約0.1特斯拉(T)與約10T之間(諸如在約1T與約5T之間)的場強。在包括磁場的實施方式中,磁體196可保持為相對於第一表面134靜止或移動。
圖2是圖1的電極元件116的實施方式的俯視圖。在圖2所示實施方式中,電極元件116包括至少一個第一電極258和第二電極260。第一電極258包括第一端子210、支撐結構230和一或多個天線220。第二電極260包括第二端子211、支撐結構230和一或多個天線221。第一電極258的第一端子210、支撐結構230和一或多個天線220可以形成單一主體。或者,第一電極258可以包括能夠耦接在一起的單獨部分。例如,一或多個天線220可從支撐結構230拆卸下來。第二電極260可以類似地為單一主體,或由可拆卸的單獨部件組成。第一電極258和第二電極260可通過任何合適方法來製備。例如,第一電極258和第二電極260可通過機加工、澆鑄或加性製造製程來製造。
支撐結構230可由導電材料(諸如金屬)製成。例如,支撐結構230可由矽、多晶矽、碳化矽、鉬、鋁、銅、石墨、銀、鉑、金、鈀、鋅、其他材料或它們的混合物製成。支撐結構230可以具有任何期望尺寸。例如,支撐結構230的長度Ls可以在約25mm與約450mm之間,例如,在約100mm與約300mm之間。在一些實施方式中,支撐結構230具有近似等於標準半導體基板材的直徑的長度Ls。在其他實施方式中,支撐結構230具有大於或小於標準半導體基板材的直徑的長度Ls。例如,在不同代表性的實施方式中,支撐結構230的長度Ls可為約25mm、約51mm、約76mm、約100mm、約150mm、約200mm、約300mm或約450mm。支撐結構230的寬度Ws可以在約2mm與約25mm之間。在其他實施方式中,支撐結構230的寬度Ws小於約2mm或大於約25mm。支撐結構230的厚度可以在約1mm與約10mm之間,諸如在約2mm與約8mm,諸如約5mm。在其他實施方式中,支撐結構可以具有小於約1mm或大於約10mm的厚度。在一些實施方式中,支撐結構230可以具有正方形、圓柱形、矩形、橢圓形、桿形或其他形狀的橫截面。具有圓形的外表面的實施方式可以避免拱起。
支撐結構231可由與支撐結構230相同的材料製成。支撐結構230和支撐結構231是由不同材料製成。支撐結構230和支撐結構231的長度Ls、寬度Ws和 厚度可相同或不同。第一電極258的一或多個天線220還可以由導電材料製成。一或多個天線220可由與支撐結構230相同的材料製成。天線220中的每者可以具有相同尺寸。或者,一或多個天線220中的一些可以具有不同於其他天線220中的一或多者的尺寸。天線221可由與天線220相同範圍的材料製成。適於天線220的尺寸的範圍同樣適於天線221。
天線220數量可以在約1個與約40個天線之間。例如,天線220數量可以在約4個與約40個之間,諸如在約10個與約20個之間。天線220中的每者可以基本上平行於其他天線220中的每者。天線221中的每者可類似地相對於支撐結構231和每個其他天線221而定位。在一個實例中,支撐結構230和支撐結構231是筆直的。在另一實例中,支撐結構230和支撐結構231可不是筆直的,諸如彎曲、鋸齒狀的,或具有其他輪廓或形狀。在這些實施方式中,天線220中的每者可仍基本上平行於其他天線220中的每者。
天線220中的每者可以具有端部(terminal end)223。天線221中的每者可具有端部225。距離C限定在支撐結構230與端部225之間。距離C’限定在支撐結構231與端部223之間。距離C和C’中的每者可以在約1mm與約10mm之間。距離A限定在天線221中的一者與天線221中的相鄰一者的相面對的表面之間。距離A'限定在天線220中的一者與天線220中的相鄰一 者的相面對的表面之間。距離A和A'可以大於約6mm。距離B限定在天線220中的一者與天線221中的相鄰一者的相面對的表面之間。距離B可例如為大於約1mm。在天線220與相鄰天線之間生成的電場的強度與距離B相關。例如,越小距離B會關係到越強電場。因此,在期望較強電場的實施方式中,較小距離B可以是有利的。
在操作中,電源170可向第一端子210供應電壓和/或電源170'可向第二端子211提供電壓。電源170'可基本類似於電源170。供應電壓在一或多個天線220中的每個天線與一或多個天線221中的每個天線之間形成電場。電場在一或多個天線220中的天線與一或多個天線221中的相鄰天線之間將會更強。天線220、221的交錯且對準的空間關係在平行於第一表面134所限定的平面的方向上產生電場。基板材140定位在第一表面134上,使得潛像線155平行於電極元件116產生的電場線。由於帶電荷的物種255帶電,因此帶電荷的物種255受到電場影響。電場在電場方向上驅動在光刻膠層150中由光致酸產生劑產生的帶電荷的物種255。通過在平行於潛像線155的方向上驅動帶電荷的物種255,就可減小線邊緣粗燥度。均勻定向移動由雙頭箭頭270示出。相比之下,當電壓未施加到第一端子210或第二端子211時,不形成電場來在任何特定方向上驅動帶電荷的物種255。因此,帶電荷的物種255可以隨機移動,如箭頭270'所示。在其他實施方式中,基板材 140可相對於天線220、221而取向不同。例如,天線220、221可平行於潛像線155。
圖3是具有嵌入到基板材支撐元件138中的電極元件118的一個實施方式的圖1的基板材支撐元件138的一個實施方式的側視橫截面示意圖。電極元件118嵌入在主體124的第一表面134與第二表面126之間。電極元件118具有第一表面334和第二表面326。第一表面334和第二表面326彼此相對,並且基本上平行於基板材支撐元件的第一表面134。電極元件118的第一表面334要比第二表面326更靠近於基板材支撐元件138的第一表面134。距離D表示基板材支撐元件138的第一表面134與電極元件的第一表面334分離的距離。距離D可以在約0.1mm與約100mm之間。例如,距離D可以在約8mm與約14mm之間。距離D可以控制由電極元件118提供到第一表面134和/或光刻膠層150的電場的強度。電場強度控制帶電荷的物種255的擴散速率。
基板材支撐元件138具有外側表面348。電極元件118具有外側表面328。距離E表示外側表面328與外側表面348之間的邊緣。距離E可例如為適於距離D的任何距離。距離E可為圍繞電極元件118而恆定的,或者距離E可以變化。電極組件118的厚度是由距離TA表示。距離TA可為以上所論述的天線220、221的任何合適厚度。如圖所示,電極元件118被耦接到電源174。 由電源174供應到電極元件118的功率的性質可為如以上關於圖1的電源170所述的性質。
圖4A描繪基板材支撐元件138的一個實例,其中中間介質402設置在處理容積112中並由約束環154來保持在基板材140上方。在無中間介質402定位在處理容積112中的情況下,氣隙通常限定在處理容積112中、在基板材140與電極元件116之間。限定在處理容積112中的氣隙的尺寸可由電極元件116與基板材140接近處的距離確定。例如,當電極元件116定位成靠近於基板材140上設置的光刻膠層150時,在處理容積112中可限定有更小尺寸氣隙。相比之下,當電極元件116定位成相對遠離於基板材140一定距離時,在處理容積112中可限定有更大尺寸氣隙。
認為處理容積112中形成的空氣介質(即,氣隙)在電壓功率被施加到電極元件116、118時,可不利地導致壓降。由於基板材140和空氣的電介質常數極為不同,例如,基板材140為約11.7而空氣為1,因此當電壓被供應到電元件116以向基板材140產生電場時,通常在電壓在到達基板材140上設置的光刻膠層150前被傳輸通過處理容積112中形成的氣隙時,會觀察到壓降。認為低電介質常數(例如,電介質常數1)的空氣顯著改變從電極元件116施加的電壓水準。由此,通過插入具有相對高的電介質常數(諸如大於10)的材料以替代處理容積112中限定的氣隙,施加後從中產生 電場的電壓可以維持處於期望水準而無顯著損失,直到到達基板材140上設置的光刻膠層150。在一個實例中,處理容積中放置的中間介質402可為具有高電介質常數的液體溶液,諸如DI水、有機凝膠、無機溶液或其他合適介質,這種介質可有助於維持從其中傳輸通過的電壓水準,而無顯著壓降。在一個實例中,DI水(例如,具有約80的電介質常數的材料)被設置並放置在基板材支撐組件138上方、由約束環154約束的處理容積112中。
在一個實例中,中間介質402可以通過中間介質分配工具173從中間介質源172供應以基本填充處理容積112。處理容積112中設置的中間介質402可以形成密切接近電極元件116的向下表面406的介面404。在中間介質源172填充在處理容積112中後,電極組件116可下降以保持電極元件116與中間介質源172之間的最小或可忽略的距離407。如此,就可基本減弱低電介質常數的氣隙所導致的壓降的可能性。
除了DI水外的材料也可用作中間介質源172,其將填充在處理容積112的由約束環154約束的區域中,如圖4B所示。在圖4B所示實例中,具有大於9的電介質常數的凝膠或可流動的有機液滴410也可用於填充在處理容積112中。凝膠或可流動的有機液滴410可旋塗到基板材140上,直到凝膠或可流動的有機液滴410的介面412限定在密切接近電極元件116的向下表 面406的位置,而為氣隙留下最小和/或可忽略的空間。填充限定在基板材支撐組件138上方的由約束環154約束的處理容積112所需要的凝膠或可流動的有機液滴410的量取決於這些部件的幾何尺寸。應當注意,凝膠或可流動的有機液滴410可連續地添加,直到在基板材上方的處理容積112基本填滿,而不留下觸及基板材140的表面的不當氣隙。
圖5A描繪電極組件116的另一實施方式,其中約束環502從電極元件116的向下表面406設置在電極元件116的邊緣。不利用液體介質,可以利用固態介質504來定位在電極元件116的向下表面406下方的由約束環502約束的區域內,並與該向下表面接觸。在固態介質504到位後,隨後,可用致動器190來使電極元件116下降,以使固態介質504接觸基板材140上設置的光刻膠層150,如圖5B所示。通過謹慎選擇固態介質504的品質和性質(例如,具有期望電介質常數),固態介質504用作設置在基板材支撐元件138中的電極元件116與電極元件118之間的良好介質,以便維持施加到其上的電壓水準處於所期望實現電場產生的範圍。在一個實例中,固態介質504可為固體圓盤,這種固體圓盤具有可覆蓋設置在基板材140上的光刻膠層150的整個表面的大小。固態介質504可以通過任何合適技術(包括機械粘結或化學粘結)來附接到電極組件116。當定位在光刻膠層150上時,固態介質504可放置在由約束 環502約束的位置,該位置密切接近光刻膠層150,而為氣隙留下最小和/或可忽略的空間。應當注意,在此使用的片語「可忽略的空間」可為尺寸小於10微米的空間。在一個實例中,固態介質504可由具有大於10的高電介質常數的材料製造。固態介質504的合適實例包括石英或TiO2
圖6描繪根據本文中公開的實施方式的可用於處理基板材的一個代表性的處理系統600。如圖所示,處理系統600包括裝載埠610、塗佈腔室620、處理腔室100、曝光腔室630(如掃描器)、第二處理腔室100、顯影腔室640和後處理腔室650。處理系統600中的每個腔室通過傳送腔室605或傳送腔室615來耦接到每一相鄰腔室。傳送腔室605和傳送腔室615可為基本類似或不同的。
裝載埠610可以用於將基板材引入處理系統600中,或從處理系統600中移去。塗佈腔室620可用於例如將光刻膠施加到基板材。塗佈腔室620可例如為旋塗機。曝光腔室630可用於將基板材曝光於電磁能量下,以便在基板材上的光刻膠層中形成酸潛像。顯影腔室640可用於例如將光刻膠層的一部分除去。後處理腔室650可用於例如對基板材執行各種後處理步驟。處理腔室100可以用於曝光前烘烤、曝光後烘烤和/或其他處理步驟。如上所述,處理腔室100可以包括一或多個電極元件118、遠端電漿源160和磁體196。然而,應當 理解,也可以類似地裝配塗佈腔室620、曝光腔室630和顯影腔室640。
圖7是用於處理基板材(諸如基板材140)的代表性的方法700的流程圖。用於處理基板材140的方法700具有多個階段。該階段可以任何次序或同時地(除非上下文排除掉該可能性)進行,並且該方法可以包括在任何所限定的階段前、在所限定的階段中的兩者之間或在所有所限定的階段後(除非上下文排除掉該可能性)進行的一或多個其他階段。並非所有實施方式都會包括所有階段。
一般來說,方法700在操作710處通過將含有光致酸產生劑的光刻膠塗覆到基板材140開始。在操作710處,光刻膠塗覆到基板材140,以便形成光刻膠層150。光刻膠層150可通過例如在旋塗裝置(諸如處理系統600中包括的塗佈腔室620)內旋塗來塗覆。在這種實施方式中,基板材140可以通過裝載埠610進入處理系統600,並且之後通過傳送腔室605而傳送到塗佈腔室620。
光刻膠可包括溶劑、光刻膠樹脂和光致酸產生劑。光刻膠樹脂可為任何合適正性光刻膠樹脂或任何負性光刻膠樹脂。代表性的光刻膠樹脂包括丙烯酸、酚醛樹脂、聚(甲基丙烯酸甲酯)和聚(烯烴碸)。也可使用其他光刻膠樹脂。
在光刻膠層150暴露於電磁輻射下時,光致酸產生劑產生帶電荷的物種255,諸如酸陽離子和陰離子。光致酸產生劑還可產生偏振物種。光致酸產生劑使樹脂對電磁輻射敏感。代表性的光致酸產生劑包括磺酸鹽化合物,例如像磺酸鹽、磺酸酯和磺酸酮。其他合適光致酸產生劑包括鎓鹽,諸如芳基重氮鹽、鹵鹽、芳族鋶鹽及鋶鹽或硒鹽。其他代表性的光致酸產生劑包括硝基苄酯、均三嗪衍生物、離子離子型磺酸鹽、全氟烷磺酸鹽、芳基三氟甲磺酸酯以及其衍生物和類似物、連苯三酚衍生物和烷基二碸。也可使用其他光致酸產生劑。
在操作720處,接著通過曝光前烘烤製程加熱基板材140。在曝光前烘烤製程中,基板材被加熱以部分蒸發光刻膠溶劑。操作720處的曝光前烘烤製程和操作710處的光刻膠塗覆可發生於同一腔室或不同腔室中。例如,操作710、720兩者可以在塗佈機中發生,或者基板材140可傳送到不同處理腔室。例如,在使用處理系統600的實施方式中,基板材140可從塗佈腔室620通過傳送腔室605來傳送到處理腔室100。
在操作730處,接著,將基板材140傳送到曝光腔室630,以將基板材140暴露於電磁輻射下,以便進行光刻曝光製程。基板材140以及光刻膠層150的部分暴露於電磁輻射下。在曝光過程中,選擇性地暴露部分的光刻膠層150,並且選擇性地未暴露部分的光刻膠層150。光刻膠層150的暴露於電磁輻射下的部分可 以具有與光刻膠層150未暴露於電磁輻射下的部分不同的化學性質。光致酸產生劑所產生的帶電荷的物種255導致在抗蝕樹脂中的酸潛像。在一些實施方式中,光罩或光罩可定位在光刻膠層150之間,並且光刻膠層150可以透過掩模或光罩暴露在電磁輻射下。掩模或光照可配置成將包含線的圖案轉移到光刻膠層150。在其他實施方式中,包含線的圖案可使用無掩模式光刻技術轉移到光刻膠層150。所轉移的潛像線155可以具有任何期望長度、寬度以及在潛像線155之間的間距。例如,在一些實施方式中,線寬度和線間距可以在約10nm與約16nm之間。在其他實施方式中,線寬度和線間距可以小於約10nm或大於約16nm。在一些實施方式中,潛像線155的長度為潛像線155的寬度的約150%。在其他實施方式中,潛像線155的長度大於潛像線155的寬度的約200%,例如像大於潛像線155的寬度的約1000%。
電磁輻射一般具有適於暴露光刻膠層150的波長。例如,電磁輻射可具有在極紫外線(EUV)範圍內的波長,諸如在約10nm與約124nm之間。在其他實施方式中,電磁輻射可由氟化氬雷射器產生。在這種實施方式中,電磁輻射可以具有約193nm的波長。在一些實施方式中,波長可為248nm。其他實施方式可以使用不同波長。在一些實施方式中,電磁輻射來自電子束或離子束。
在曝光後,在操作740處,在曝光後烘烤階段中加熱基板材140進行曝光後烘烤製程,以便改變在操作740處暴露於電磁輻射下的膜,薄膜性質。基板材140可從曝光腔室630通過傳送腔室615來傳送到處理腔室100,以便進行曝光後烘烤製程。基板材140可定位在基板材支撐元件138的第一表面134上。電源174可向嵌入式加熱器132提供功率,以便加熱基板材140。嵌入式加熱器132可快速地加熱基板材140以及光刻膠層150。例如,嵌入式加熱器132可使光刻膠層150的溫度在小於約2秒內從環境溫度上升到約70℃與約160℃之間,諸如在約90℃與約140℃之間。
在操作740處的曝光後烘烤過程中,光刻膠層150中的光致酸產生劑可以繼續更改光刻膠層150的暴露部分的化學性質。除了烘烤製程之外,電場可產生到光刻膠層150,如操作701處所述,同時在操作740處執行曝光後烘烤製程。當在電極元件116和/或電極元件118之間施加電場時,可以通過電場、磁場和電漿中的至少一者在期望方向上引導帶電荷的物種255。磁場可由例如磁體196產生。例如,電漿可由例如遠端電漿源160產生。通過在處理容積112中利用中間介質402、凝膠或可流動的有機液滴410或固態介質504,用於產生電場的施加到電極元件116、118的電壓壓降/損失的可能性可顯著地降低或減弱。當將電場產生到光刻膠層150時,基板材140根據需要可或可不具有相對 於電極元件116、118的運動,上述相對的運動用於更改在光刻膠層150的不同位置處的電場強度。
如上指出,可以任何操作中或以任何操作組合引導帶電荷的物種255。在一些實施方式中,在一個操作中,在相對於潛像線155的一個方向上引導帶電荷的物種255,並且在另一操作中,在相對於潛像線155的另一方向上引導帶電荷的物種255。例如,在曝光操作730過程中,可以在垂直於第一表面134的方向上引導帶電荷的物種255,並且在曝光後烘烤操作740過程中,可以在潛像線155的方向上或者同時在潛像線155的方向上和在垂直於第一表面134的方向上引導帶電荷的物種255。在另一實施方式中,在曝光操作730過程中,可以在潛像線155的方向上或者同時在潛像線155的方向上和在垂直於第一表面134的方向上引導帶電荷的物種255,並且在操作740處的曝光後烘烤過程中,在垂直於第一表面134的方向上引導帶電荷的物種255。在一些實施方式中,可以在單個階段內在不同方向上引導帶電荷的物種255。例如,在曝光操作中,或者在曝光後烘烤操作過程中,可以在該階段的一部分內在垂直於第一表面134的方向上引導帶電荷的物種255,並且在該階段的一部分內在垂直於第一表面134的方向上和在沿潛像線的方向的方向上引導帶電荷的物種255。在施加豎直磁場時,這種引導方向上的變化可以通過磁場的打開和關閉實現。
隨後,在操作750處,對來自基板材140的暴露於或未暴露於電磁輻射的區域執行顯影製程,以使光刻膠層顯影。在一個實施方式中,在操作740後,基板材140被傳送到顯影腔室,諸如圖6所示顯影腔室640。在使用處理系統600的實施方式中,基板材140可從處理腔室100通過傳送腔室605來傳送到顯影腔室640。顯影腔室640還可包括耦接到一或多個電源的電極元件116和/或致動器190和磁體196。基板材140可相對於電極組件116和磁體196定位在顯影腔室640中,如針對塗佈腔室620所述。
在操作750過程中,光刻膠層150可通過例如將光刻膠層150暴露於顯影劑(諸如氫氧化鈉溶液、四甲基氫氧化銨溶液、二甲苯或斯托達德溶劑(Stoddard solvent))進行顯影。基板材140可用例如水或乙酸正丁酯(n-butylacetate)沖洗。在操作750處的顯影製程後,潛像線155可不再是潛在的。比起常規技術,基板材140上的線155將具有較小的線邊緣/寬度粗糙度。
隨後,在操作760處,在顯影製程後,可對基板材140執行後處理製程以對基板材進行後處理。後處理製程可以在例如圖6所示後處理腔室650中執行。在使用處理系統600的實施方式中,基板材140可從顯影腔室640通過傳送腔室605來傳送到後處理腔室650,以進行後處理。例如,在沖洗後,基板材140可烤硬並 進行檢查。在檢查後,可對基板材140執行蝕刻製程。蝕刻製程使用光刻膠層150的特徵,諸如線155,將圖案轉移到層145。
在執行在操作710處將光刻膠層塗覆到基板材上、在操作720處加熱基板材、在操作730處使基板材暴露於電磁輻射下、在操作740處加熱基板材、在操作750處使基板材顯影以及在操作760處對基板材進行後處理的製程時,可施加電壓以產生電場,如操作701處指示,以便在期望方向上(諸如在平行於x-y平面的方向上和在潛像線155的方向上、在平行於x-y平面的方向上和在垂直於潛像線155的方向上、在不同方向上,或它們的組合)引導光致酸產生劑所產生的帶電荷的物種255。中間介質402、凝膠或可流動的有機液滴410或固態介質504放置在電極元件116與基板材140之間以避免限定在這兩者之間的可能氣隙,所施加到其上以產生電場的電壓隨後可維持在期望水準,而無不期望的壓降或損失。
先前所述實施方式具有許多優點,包括以下優點。例如,本文中公開的實施方式可減小或減弱壓降/損失,同時在電極元件與基板材上設置的光刻膠層之間施加電場,以便減小線邊緣/寬度粗糙度。減小或減弱壓降/損失可通過利用放置在電極元件116與基板材140之間以避免限定在這兩者之間的可能氣隙的中間介質402、凝膠或可流動的有機液滴410或固態介質504來 實現。前述優點均是說明性的,而非進行限制。並非所有實施方式都一定要具有所有優點。
儘管上述內容針對本公開案的實施方式,但也可在不脫離本公開案的基本範圍的情況下設計本公開案的另外實施方式,並且本公開案的範圍是由隨附權利要求書來確定。
118‧‧‧電極元件
124‧‧‧主體
126‧‧‧第二表面
134‧‧‧第一表面
138‧‧‧基板材支撐組件
174‧‧‧電源
326‧‧‧第二表面
328‧‧‧外側表面
334‧‧‧第一表面
348‧‧‧外側表面

Claims (15)

  1. 一種用於對一基板材進行處理的裝置,該裝置包括:一處理腔室,該處理腔室包括:一基板材支撐件,該基板材支撐件具有一基板材支撐表面;一熱源,該熱源嵌入在該基板材支撐件中,配置用於將定位在該基板材支撐表面上的一基板材加熱;一電極元件,該電極元件被配置成在基本上垂直於該基板材支撐表面的一方向上產生一電場,其中該電極元件與該基板材支撐表面相對地定位,使該電極元件的一向下表面面對該基板材支撐表面,其中該電極元件與該基板材支撐件間隔開,以便在該電極元件與該基板材支撐表面之間限定一處理容積;以及一約束環,該約束環設置在該基板材支撐件或該電極元件的一邊緣上,配置用於保持一中間介質,其中該中間介質具有大於10的一電介質常數。
  2. 如請求項1所述的裝置,其中該中間介質被配置成設置在該處理容積中。
  3. 如請求項1所述的裝置,其中定位在該處理 容積中的該中間介質密切接近該基板材支撐表面和該電極元件的該向下表面。
  4. 如請求項1所述的裝置,其中該處理腔室被耦接到一遠端電漿源。
  5. 如請求項1所述的裝置,其進一步包括:一中間介質分配工具,該中間介質分配工具設置在該處理腔室中,配置用於在該處理容積中分配該中間介質。
  6. 如請求項1所述的裝置,其中該中間介質是DI水。
  7. 如請求項1所述的裝置,其中該中間介質是一固態介質。
  8. 如請求項1所述的裝置,其中該中間介質是石英。
  9. 如請求項1所述的裝置,其中該中間介質基本上填充在限定於該電極元件與該基板材支撐表面之間的該處理容積中,而無氣隙。
  10. 如請求項1所述的裝置,其中設置在該電極組件的該邊緣的該約束環被配置成在該電極組件被致動到一處理位置時,保持該中間介質。
  11. 一種用於對一基板材進行處理的裝置,該裝置包括: 一處理腔室,該處理腔室包括:一基板材支撐件,該基板材支撐件包括一基板材支撐表面;一電極元件,該電極元件包括一第一電極和一第二電極,該第一電極設置在該基板材支撐件中,該第二電極與該基板材支撐表面相對地定位,該第一電極和該第二電極在它們兩者間限定一處理容積,該電極元件被配置成在基本上垂直於該基板材支撐表面的一方向上產生一電場;以及一中間介質,該中間介質定位在該處理容積中,其中該中間介質具有大於10的一電介質常數。
  12. 如請求項11所述的裝置,其進一步包括:一加熱元件,該加熱元件設置在該基板材支撐件中。
  13. 如請求項11所述的裝置,其進一步包括:一中間介質分配工具,該中間介質分配工具設置在該處理腔室中,配置用於將物質或液體介質在該處理容積中進行分配。
  14. 如請求項11所述的裝置,其進一步包括:一約束環,該約束環設置在該基板材支撐件的一邊緣或該第二電極的一邊緣上,配置用於保持該中間介質。
  15. 如請求項11所述的裝置,其中該中間介質是DI水。
TW105117858A 2015-06-08 2016-06-06 浸沒場引導的曝光和曝光後烘烤製程 TWI716410B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/733,923 2015-06-08
US14/733,923 US9829790B2 (en) 2015-06-08 2015-06-08 Immersion field guided exposure and post-exposure bake process

Publications (2)

Publication Number Publication Date
TW201643562A TW201643562A (zh) 2016-12-16
TWI716410B true TWI716410B (zh) 2021-01-21

Family

ID=56690739

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105117858A TWI716410B (zh) 2015-06-08 2016-06-06 浸沒場引導的曝光和曝光後烘烤製程

Country Status (5)

Country Link
US (1) US9829790B2 (zh)
JP (3) JP3205856U (zh)
KR (1) KR102610050B1 (zh)
CN (2) CN106249554B (zh)
TW (1) TWI716410B (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
US10276379B2 (en) * 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
KR102443698B1 (ko) 2018-03-16 2022-09-15 삼성전자주식회사 집적회로 소자의 제조 방법
US10515812B1 (en) * 2018-08-13 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing pattern roughness in semiconductor fabrication
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
CN113196452A (zh) 2019-01-18 2021-07-30 应用材料公司 用于电场引导的光刻胶图案化工艺的膜结构
KR20220031649A (ko) * 2019-06-28 2022-03-11 램 리써치 코포레이션 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
KR102323438B1 (ko) * 2020-02-25 2021-11-05 연세대학교 산학협력단 전기장 셰이핑 장치 및 전기장을 이용한 타겟 처리 장치
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
WO2022010809A1 (en) * 2020-07-07 2022-01-13 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
US20220199414A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
KR20230144083A (ko) 2021-02-15 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트의 노광 후 베이크 장치
TWI821915B (zh) * 2021-02-25 2023-11-11 日商東京威力科創股份有限公司 具有原位電偏壓的半導體處理系統

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100029093A1 (en) * 2006-09-29 2010-02-04 Tokyo Electron Limited Plasma oxidizing method, plasma processing apparatus, and storage medium
US20100248152A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Using Electric-Field Directed Post-Exposure Bake for Double-Patterning (D-P)
TW201216368A (en) * 2010-10-07 2012-04-16 Hermes Epitek Corp Heater assembly and wafer processing apparatus using the same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03159114A (ja) 1989-11-16 1991-07-09 Mitsubishi Electric Corp 微細パターンの形成方法
JPH07106235A (ja) * 1993-10-08 1995-04-21 Matsushita Electric Ind Co Ltd パターン形成方法
US6257168B1 (en) * 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6830389B2 (en) * 2000-10-25 2004-12-14 Advanced Micro Devices, Inc. Parallel plate development with the application of a differential voltage
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
JP4251830B2 (ja) * 2001-08-08 2009-04-08 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP4024053B2 (ja) * 2002-02-08 2007-12-19 キヤノンアネルバ株式会社 高周波プラズマ処理方法及び高周波プラズマ処理装置
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
JP4209819B2 (ja) * 2004-07-15 2009-01-14 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP4364105B2 (ja) * 2004-11-08 2009-11-11 東京エレクトロン株式会社 熱処理装置、及び熱処理方法
US7341939B2 (en) * 2005-02-18 2008-03-11 Taiwan Semiconductor Maunfacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
US7838205B2 (en) 2006-07-07 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Utilization of electric field with isotropic development in photolithography
JP2009194242A (ja) * 2008-02-15 2009-08-27 Tokyo Electron Ltd 塗布、現像装置、塗布、現像方法及び記憶媒体
US8293460B2 (en) * 2008-06-16 2012-10-23 Applied Materials, Inc. Double exposure patterning with carbonaceous hardmask
CN103180932A (zh) * 2010-10-27 2013-06-26 应用材料公司 用于控制光刻胶线宽粗糙度的方法及设备
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
CN104541148B (zh) * 2012-08-10 2018-06-05 富士通株式会社 Qcm传感器及其制造方法
KR102051627B1 (ko) * 2013-01-07 2019-12-03 삼성전자주식회사 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100029093A1 (en) * 2006-09-29 2010-02-04 Tokyo Electron Limited Plasma oxidizing method, plasma processing apparatus, and storage medium
US20100248152A1 (en) * 2009-03-31 2010-09-30 Tokyo Electron Limited Using Electric-Field Directed Post-Exposure Bake for Double-Patterning (D-P)
TW201216368A (en) * 2010-10-07 2012-04-16 Hermes Epitek Corp Heater assembly and wafer processing apparatus using the same

Also Published As

Publication number Publication date
US20160357107A1 (en) 2016-12-08
CN106249554A (zh) 2016-12-21
JP2017034233A (ja) 2017-02-09
JP2021040139A (ja) 2021-03-11
US9829790B2 (en) 2017-11-28
JP3205856U (ja) 2016-08-18
KR102610050B1 (ko) 2023-12-04
TW201643562A (zh) 2016-12-16
JP7094336B2 (ja) 2022-07-01
KR20160144329A (ko) 2016-12-16
CN206057801U (zh) 2017-03-29
CN106249554B (zh) 2021-04-02

Similar Documents

Publication Publication Date Title
TWI716410B (zh) 浸沒場引導的曝光和曝光後烘烤製程
JP6582081B2 (ja) 電場/磁場案内された酸拡散
US9280070B2 (en) Field guided exposure and post-exposure bake process
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
TWI830683B (zh) 用於電場引導的光阻劑圖案化製程的膜結構
US9927709B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9996006B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
WO2016060759A1 (en) Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US11003080B2 (en) Process chamber for field guided exposure and method for implementing the process chamber