TW202349139A - 用於光阻圖案化的微影處理窗增強 - Google Patents

用於光阻圖案化的微影處理窗增強 Download PDF

Info

Publication number
TW202349139A
TW202349139A TW112131130A TW112131130A TW202349139A TW 202349139 A TW202349139 A TW 202349139A TW 112131130 A TW112131130 A TW 112131130A TW 112131130 A TW112131130 A TW 112131130A TW 202349139 A TW202349139 A TW 202349139A
Authority
TW
Taiwan
Prior art keywords
electric field
photoresist layer
voltage
substrate
post
Prior art date
Application number
TW112131130A
Other languages
English (en)
Other versions
TWI844448B (zh
Inventor
輝雄 戴
曼格許艾夏 邦加
史林尼法斯D 奈馬尼
克里斯多夫S 倪
怡利 葉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202349139A publication Critical patent/TW202349139A/zh
Application granted granted Critical
Publication of TWI844448B publication Critical patent/TWI844448B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)

Abstract

一種用於在微影處理期間增強焦深處理窗的方法,包括在設置在基板上的材料層上施加包括光酸產生劑的光阻層,在微影曝光處理中,使未受光遮罩保護的光阻層的第一部分暴露於光輻射,在曝光後烘烤處理中向光阻層提供熱能,在實行曝光後烘烤處理同時,施加電場或磁場,和在向光阻層提供熱能同時,動態地改變電場的頻率。

Description

用於光阻圖案化的微影處理窗增強
本揭示總體上涉及用於處理基板的方法和設備,並且更具體地涉及用於增強光阻輪廓控制的方法和設備。
積體電路已發展成可在單晶片上包含數百萬個元件(例如,電晶體、電容、和電阻)的複雜裝置。光微影可用於在晶片上形成元件。通常,光微影的處理涉及幾個基本階段。最初,在基板上形成光阻層。可以透過例如旋塗來形成光阻層。光阻層可包括光阻樹脂和光酸產生劑。在隨後的曝光階段中暴露於電磁輻射後,光酸產生劑會改變光阻劑在顯影處理中的溶解性。在預曝光烘烤(pre-exposure bake)處理中可能會去除多餘的溶劑。
在曝光階段,可使用光罩或光標來選擇性地將設置在基板上的光阻層的某些區域暴露於電磁輻射。其他曝光方法可以是無遮罩曝光方法。電磁輻射可具有任何合適的波長,例如在極紫外區域中的波長。電磁輻射可以從任何合適的源,例如193 nm ArF雷射、電子束、離子束、或其他源形成。暴露於電磁輻射中可能會使光酸產生劑分解,從而產生酸並在光阻樹脂中產生潛在性酸圖像。曝光後,可以在曝光後烘烤處理中加熱基板。在曝光後烘烤處理中,由光酸產生劑產生的酸與光阻層中的光阻樹脂反應,從而在隨後的顯影處理期間改變光阻層的阻劑的溶解性。
在曝光後烘烤之後,可以顯影(develope)並沖洗(rinse)基板,尤其是光阻層。顯影和沖洗後,接著在基板上形成圖案化的光阻層,如圖1所示。圖1描繪了基板100的示例性正交截面圖,基板100具有設置在將要蝕刻的目標材料(target material)102上的圖案化的光阻層104。在顯影和沖洗處理之後,開口106界定在圖案化的光阻層104之間,從而暴露出下面的目標材料102以進行蝕刻以將特徵轉移到目標材料102上。然而,微影曝光處理的不精確控制或低解析度可能無法保持光阻層104的臨界尺寸,從而導致不可接受的線寬粗糙度108。此外,在曝光處理期間,從光酸產生劑產生的酸(如圖1所示)可能會隨機擴散到任何區域,包括在遮罩下方的受保護的不希望被擴散到的區域,從而在與開口106連接的圖案化的光阻層104的邊緣或連接處形成不想要的擺動或粗糙輪廓150。大的線寬粗糙度108和光阻層104的不想要的擺動輪廓150可能導致不精確的特徵轉移到目標材料102,因此,最終導致裝置故障和產率損失。
因此,需要一種控制線寬粗糙度並提高解析度以及劑量敏感性的方法和設備,以便獲得具有所需臨界尺寸的圖案化光阻層。
本揭示的實施例包括用於在曝光後烘烤處理期間有效控制來自光阻層中的光酸產生劑的酸的分佈和擴散的方法,以改善微影處理窗,例如焦深範圍。方法可在微影處理期間增強和擴大焦深處理窗。
在一個實施例中,一種處理基板的方法,該方法包括以下步驟:在設置在基板上的材料層上施加包括光酸產生劑的光阻層,在微影曝光處理中,使未受光遮罩保護的光阻層的第一部分暴露於光輻射,在曝光後烘烤處理中向光阻層提供熱能,在實行曝光後烘烤處理同時,施加電場或磁場,和在向光阻層提供熱能同時,動態地改變電場的頻率。
在另一實施例中,一種處理基板的方法,該方法包括以下步驟:在基板上施加光阻層,在微影曝光處理中,將未受光遮罩保護的光阻層的第一部分暴露於光輻射,對光阻層實行曝光後烘烤處理,和在向光阻層提供熱能時,在光阻層中垂直地改變光酸產生劑的漂移方向。
在又另一實施例中,一種裝置結構,包括:設置在基板上的材料層,和在材料層中形成的複數個開口,其中跨基板形成的開口具有在大約85度至大約95度之間的一隅角。
提供了用於增強透過光微影形成的光阻層的輪廓控制的方法。可透過調節在曝光後烘烤處理期間施加的電場來控制在曝光後烘烤處理期間影響線邊緣/寬粗糙度的由光酸產生劑產生的酸的擴散。電場的施加控制了由光酸產生劑產生的酸在光阻層中的擴散和分佈,從而擴大了可提高微影解析度的處理窗。例如,在曝光後烘烤處理期間以適當控制和輔助由光酸產生劑產生的酸的擴散,可以有效地改善和提高微影處理期間焦深(focus of depth)的範圍。在曝光後烘烤處理期間控制的合適的處理參數包括:電壓水平和頻率,以控制在烘烤處理期間產生的電場、電壓的連續或脈沖模式,以產生電場、基板的溫度控制、以及在曝光後烘烤處理期間施加的電場的持續時間。在一個範例中,可利用曝光後烘烤處理期間的動態頻率控制。
圖2是根據一個實施例的用於處理基板的設備的示意性剖視圖。如圖2的實施例所示,該設備可以是真空處理腔室200的形式。在其他實施例中,處理腔室200可以不耦接至真空源。
處理腔室200可以是獨立的獨立處理腔室。替代地,處理腔室200可以是處理系統的一部分,例如,在線處理系統(in-line processing system)、群集處理系統、或軌道處理系統。處理腔室200在下文詳細描述,並且可用於曝光前烘烤,曝光後烘烤、和/或其他處理步驟。
處理腔室200包括腔室壁202、電極組件216、和基板支撐組件238。腔室壁202包括側壁206、蓋組件210、和底部208。腔室壁202部分地包圍處理空間212。穿過配置以促進基板240移入和移出處理腔室200的基板傳送端口(未示出)進入處理空間212。在處理腔室200是處理系統的一部分的實施例中,基板傳送端口可以允許基板240被傳送到鄰接移送腔室和從移送腔室傳送。
泵送口214可以選擇性地穿過蓋組件210、側壁206、或處理腔室200的底部208中之一者而設置,以將處理空間212耦接至排氣口。排氣口將泵送口214耦接至各種真空泵送元件,例如真空泵。泵送元件可降低處理空間212的壓力,並將任何氣體和/或處理副產物排出處理腔室200。處理腔室200可耦合到一或多個供應源204,用於將一或多種源化合物輸送到處理空間212中。
基板支撐組件238位於處理腔室200內的中央。基板支撐組件238在處理期間支撐基板240。基板支撐組件238可包括封裝至少一個嵌入式加熱器232的主體224。在一些實施例中,基板支撐組件238可以是靜電夾盤。諸如電阻元件的加熱器232設置在基板支撐組件238中。加熱器232可控制地將基板支撐組件238和位於其上的基板240加熱到預定溫度。加熱器232被配置以快速驟升基板240的溫度並精確地控制基板240的溫度。在一些實施例中,加熱器232連接到電源274並由電源274控制。電源274可以替代地或額外地將功率施加到基板支撐組件238。電源274可以類似於電源270配置,如下文討論。此外,應注意,加熱器232可根據需要設置在處理腔室200的其他位置中,例如在腔室壁中、腔室襯墊中、包圍基板的邊緣環、腔室頂板等中,以提供熱能量傳遞給設置在基板支撐組件238上的基板240。
在一些實施例中,基板支撐組件238可以被配置以旋轉。在一些實施例中,基板支撐組件238被配置以繞z軸旋轉。基板支撐組件238可被配置以連續或恆定地旋轉,或者基板支撐組件238可以被配置為以逐步(step-wise)或分度(indexing)的方式旋轉。例如,基板支撐組件238可以旋轉預定量,例如90°、180°、或270°,然後旋轉可以停止預定量的時間。
通常,基板支撐組件238具有第一表面234和第二表面226。第一表面234與第二表面226相對。第一表面234被配置為支撐基板240。第二表面226具有耦接至其上的桿242。基板240可以是任何類型的基板,例如介電基板、玻璃基板、半導體基板、或導電基板。基板240可具有設置在其上的材料層245。材料層245可以是任何期望的層。在一些實施例中,基板240可具有多於一個材料層245。基板240亦具有設置在材料層245上方的光阻層250。在光微影處理的曝光階段中,基板240已在先前暴露於電磁輻射。光阻層250具有從曝光階段形成在其中的潛像線255。潛像線255可以基本上平行。在其他實施例中,潛像線255可以不是基本上平行。同樣如圖所示,基板支撐組件238的第一表面234與電極組件216在z方向上分開距離d。桿242耦接至升舉系統(未示出),以用於使基板支撐組件238在升高的處理位置(如圖所示)和降低的基板傳送位置之間移動。升舉系統可以在z方向上精確且精準地控制基板240的位置。在一些實施例中,升舉系統亦可被配置以在x方向、y方向、或x方向及y方向上移動基板240。桿242另外提供了用於在基板支撐組件238和處理腔室200的其他元件之間的電和熱電偶引線的導管。波紋管246耦接至基板支撐組件238,以在處理空間212與處理腔室200外部的大氣之間提供真空密封,並促進基板支撐組件238在z方向上的移動。
蓋組件210可以選擇性地包括入口280,供應源204提供的氣體可穿過入口280進入處理腔室200。供應源204可以選擇性地以諸如氮氣、氬氣、氦氣、其他氣體或其中之組合的氣體來可控制地對處理空間212加壓。來自供應源204的氣體可以在處理腔室200內建立受控制的環境。致動器290可以選擇性地耦接在蓋組件210和電極組件216之間。致動器290被配置以在x、y、和z方向中的一個或多個上移動電極組件216。x方向和y方向在本文中稱為橫向方向或維度(dimensions)。致動器290使電極組件216能夠掃描基板240的表面。致動器290亦使得距離d能被調節。在一些實施例中,電極組件216透過固定桿(未示出)耦接至蓋組件210。在其他實施例中,電極組件216可以耦接到處理腔室200的底部208的內部,耦接到基板支撐組件238的第二表面226、或耦接到桿242。在其他實施例中,電極組件216可以嵌入在基板支撐組件238的第一表面234和第二表面226之間。
電極組件216至少包括第一電極258和第二電極260。如圖所示,第一電極258耦接到電源270,第二電極260耦接到選擇性的電源275。在其他實施例中,第一電極258和第二電極260中的一個可以耦接到電源,而另一個電極可以耦接到地。在一些實施例中,第一電極258和第二電極260耦接到地,並且將功率傳輸到基板支撐件的電源274是在正偏壓和負偏壓之間切換的雙極電源。在一些實施例中,電源270或電源275可以耦接到第一電極258和第二電極260兩者。在其他實施例中,電源270或電源275可以耦接到第一電極258、第二電極260、和基板支撐組件238。在這樣的實施例中,對第一電極258、第二電極260、和基板支撐組件238中的每一者的脈衝延遲可以是不同的。電極組件216可配置以產生平行於由基板支撐組件238的第一表面界定的x-y平面的電場。例如,電極組件216可以被配置以在x-y平面中的y方向、x方向、或其他方向之一者上產生電場。
電源270和電源275被配置以,例如,向電極組件216供應約500 V至約100 kV之間,以產生具有約0.1 MV/m至約100 MV/m之間的強度的電場。在一些實施例中,電源274亦可被配置以向電極組件216提供功率。在一些實施例中,電源270、電源274、或電源275中的任何一個或全部是脈衝直流(DC)電源。脈衝DC波可以來自半波整流器或全波整流器。DC功率可以具有在約10 Hz和1 MHz之間的頻率。脈衝DC功率的工作週期(duty cycle)可以在大約5%至大約95%之間,例如在大約20%至大約60%之間。在一些實施例中,脈衝DC功率的工作週期可以在大約20%至大約40%之間。在其他實施例中,脈衝DC功率的工作週期可以是大約60%。脈衝DC功率的上升和下降時間可以在大約1 ns至大約1000 ns之間,例如在大約10 ns至大約500 ns之間。在其他實施例中,脈衝DC功率的上升和下降時間可以在大約10 ns至大約100 ns之間。在一些實施例中,脈衝DC功率的上升和下降時間可以是大約500 ns。在一些實施例中,電源270、電源274、和電源275中的任何一個或全部是交流電源。在其他實施例中,電源270、電源274、和電源275中的任何一個或全部是直流電源。
在一些實施例中,電源270、電源274、和電源275中的任何一個或全部可以使用DC偏差。DC偏差可以是,例如,在所施加的電壓的約0%至約75%之間,例如在所施加的電壓的約5%至約60%之間。在一些實施例中,第一電極258和第二電極260被負脈衝,而基板支撐組件238也被負脈衝。在這些實施例中,第一電極258和第二電極260以及基板支撐組件238是同步的,但是在時間上是偏差的。例如,當基板支撐組件處於「零」狀態時,第一電極258可以處於「一」狀態,接著當第一電極258處於「零」狀態時,基板支撐組件238可以處於「一」狀態。
電極組件216大約跨過基板支撐組件238的寬度。在其他實施例中,電極組件216的寬度可以小於基板支撐組件238的寬度。例如,電極組件216可跨過基板支撐組件238的寬度的約10%至約80%之間,例如約20%至約40%之間。在電極組件216的寬小於基板支撐組件238的實施例中,致動器290可以在位於基板支撐組件238的第一表面234上的基板240的表面上掃描電極組件216。例如,致動器290可以掃描使得電極組件216掃描基板240的整個表面。在其他實施例中,致動器290可以僅掃描基板240的某些部分。或者,基板支撐組件238可在電極組件216下方掃描。
在一些實施例中,一或多個磁體296可以定位在處理腔室200中。在圖1所示的實施例中,磁體296耦接到側壁206的內表面。在其他實施例中,磁體296可位於處理腔室200內或處理腔室200外的其他位置。磁體296可以是,例如,永磁體或電磁體。代表性的永磁體包括陶瓷磁體和稀土磁體。在磁體296包括電磁體的實施例中,磁體296可耦接至電源(未示出)。磁體296被配置以在與由電極組件216在基板支撐組件238的第一表面234處產生的電場線的方向垂直或平行的方向上產生磁場。例如,磁體296可配置以當由電極組件216產生的電場在y方向上時在x方向上產生磁場。磁場沿垂直於磁場的方向,例如平行於潛像線255的方向,驅動光阻層250中的光酸產生劑產生的帶電物質355(示於圖3)和極化物質(未示出)。透過沿平行於潛像線255的方向驅動帶電物質355和極化物質,可以控制曝光的光阻層的線輪廓。帶電物質355和極化物質的均勻方向性和/或受控運動由圖3中的雙向箭頭370示出。相反,如箭頭370'所示,當不施加磁場時,帶電物質355和極化物質可隨機移動。
繼續參考圖3,電極組件216至少包括第一電極258和第二電極260。第一電極258包括第一端子310、第一支撐結構330、和一或多個天線320。第二電極260包括第二端子311、第二支撐結構331、和一或多個天線321。第一端子310、第一支撐結構330、以及第一電極258的一或多個天線320可以形成一體。替代地,第一電極258可包括可以耦接在一起的分開的部分。例如,一或多個天線320可以與第一支撐結構330分離。第二電極260可以類似地是一體的,或者由分離的可分離元件組成。可以透過任何合適的技術來製造第一電極258和第二電極260。例如,可以透過機械加工、鑄造、或增材製造來製造第一電極258和第二電極260。
第一支撐結構330可以由導電材料製成。例如,第一支撐結構330可以由矽、多晶矽、碳化矽、鉬、鋁、銅、石墨、銀、鉑、金、鈀、鋅、其他材料或其中之混合物製成。第一支撐結構330可以具有任何期望的尺寸。例如,第一支撐結構330的長度L可以在大約25 mm與大約450 mm之間,例如,在大約100 mm與大約300 mm之間。在一些實施例中,第一支撐結構330具有長度L大約等於標準半導體基板的直徑。在其他實施例中,第一支撐結構330具有長度L大於或小於標準半導體基板的直徑。例如,在不同的代表實施例中,第一支撐結構330的長度L可以是大約25 mm、大約51 mm、大約76 mm、大約100 mm、大約150 mm、大約200 mm、大約300 mm、或大約450mm。第一支撐結構330的寬度W可以在大約2 mm與大約25 mm之間。在其他實施例中,第一支撐結構330的寬度W小於大約2 mm。在其他實施例中,第一支撐結構330的寬度W大於大約25 mm。第一支撐結構330的厚度可以是大約1 mm至大約10 mm之間,例如大約2 mm至大約8 mm之間,例如大約5 mm。在一些實施例中,第一支撐結構330可以是正方形、圓柱形、矩形、橢圓形、或其他形狀。具有彎曲的外表面的實施例可以避免電弧放電。
第二支撐結構331可以由與第一支撐結構330相同的材料製成。適用於第一支撐結構330的尺寸範圍也適用於第二支撐結構331。在一些實施例中,第一支撐結構330和第二支撐結構331由相同的材料製成。在其他實施例中,第一支撐結構330和第二支撐結構331由不同的材料製成。第一支撐結構330和第二支撐結構331的長度L、寬度W、和厚度可以相同或不同。
第一電極258的一或多個天線320也可由導電材料製成。一或多個天線320可以由與第一支撐結構330相同的材料製成。第一電極258的一或多個天線320可以具有任何期望的尺寸。例如,一或多個天線320的長度L1可以在大約25 mm與大約450 mm之間,例如,在大約100 mm與大約300 mm之間。在一些實施例中,一或多個天線320具有長度L1近似等於標準基板的直徑。在其他實施例中,一或多個天線320的長度L1可以在標準基板的直徑的大約75%至90%之間。一或多個天線320的寬度W1可以在大約2 mm與大約25 mm之間。在其他實施例中,一或多個天線320的寬度W1小於大約2 mm。在其他實施例中,一或多個天線320的寬度W1大於大約25 mm。一或多個天線320的厚度可以在約1 mm與約10 mm之間,例如在約2 mm與約8 mm之間。一或多個天線320可以具有正方形、矩形、橢圓形、圓形、圓柱形、或另一種形狀的橫截面。具有圓形外表面的實施例可避免電弧放電。
每個天線320可具有相同的尺寸。替代地,一或多個天線320中的一些可具有與其他天線320中的一或多個不同的尺寸。例如,一或多個天線320中的一些可具有與其他天線320中的一或多個不同的長度L1。一或多個天線320中的每一個可以由相同的材料製成。在其他實施例中,某些天線320可以由與其他天線320不同的材料製成。
天線321可以由與天線320相同範圍的材料製成。適用於天線320的尺寸範圍也適用於天線321。在一些實施例中,天線320和天線321由相同的材料製成。在其他實施例中,天線320和天線321由不同的材料製成。天線320和天線321的長度L1、寬度W1、和厚度可以相同或不同。
天線320可包括1到大約40個天線320。例如,天線320可包括大約4至大約40個天線320,例如大約10至大約20個天線320。在其他實施例中,天線320可包括多於40個天線320。在一些實施例中,每個天線320可以基本垂直於第一支撐結構330。例如,在第一支撐結構330是筆直的實施例中,每個天線320可以基本上平行於第一支撐結構330。每個天線320可以基本上平行於每個其他天線320。每個天線321可以相對於支撐結構331和每個其他天線321類似地定位。
每個天線320具有終端323。每個天線321具有終端325。在第一支撐結構330和終端325之間界定距離C。在第二支撐結構331和終端323之間限定距離C'。距離C和C'中的每一個可以在大約1 mm和大約10 mm之間。在其他實施例中,距離C和C'可以小於大約1 mm或大於大約10 mm。在一些實施例中,距離C和距離C'相等。在其他實施例中,距離C和距離C'是不同的。
在天線321之一的面對表面與天線321的一相鄰天線的面對表面之間界定距離A。在一個天線320的面對表面與天線320的一相鄰天線的面對表面之間界定距離A'。距離A和A'可以大於大約6 mm。例如,距離A和A'可以在大約6 mm和大約20 mm之間,例如在大約10 mm和大約15 mm之間。每個相鄰天線321、320之間的距離A和A'可以相同或不同。例如,一或多個天線320的第一與第二天線、第二與第三天線、以及第三與第四天線之間的距離A'可以不同。在其他實施例中,距離A'可以相同。
在天線320之一的面對表面與天線321的一相鄰天線的面對表面之間界定距離B。距離B可以例如大於大約1 mm。例如,距離B可以在大約2 mm與大約10 mm之間,例如在大約4 mm與大約6 mm之間。在其間界定的距離B可以相同、每個距離B可以不同、或者某些距離B可以相同,而某些距離B可以不同。調節距離B允許容易地控制電場強度。
可以在光阻層250上方以交替佈置來定向天線320、321。例如,第一電極258的天線320和第二電極260的天線321可以被定位成使得至少一個天線320被定位在兩個天線321之間。另外,至少一個天線321可以位於兩個天線320之間。在一些實施例中,除了一個天線320之外的所有天線都位於兩個天線321之間。在那些實施例中,除了一個天線321之外的所有天線都可位於兩個天線320之間。在一些實施例中,天線320和天線321可各自僅具有一個天線。
在一些實施例中,第一電極258具有第一端子310,而第二電極260具有第二端子311。第一端子310可以是第一電極358與電源270、電源275、或地之間的接點。第二端子311可以是第二電極260和電源270、電源270、或地之間的接點。第一端子310和第二端子311被示出為分別在第一電極258和第二電極260的一端。在其他實施例中,第一端子310和第二端子311可分別位於第一電極258和第二電極上的其他位置。第一端子310和第二端子311分別具有與第一支撐結構330和支撐結構331不同的形狀和尺寸。在其他實施例中,第一端子310和第二端子311可分別具有與第一支撐結構330和支撐結構331相同的形狀和尺寸。
在操作中,可以從諸如電源270、電源274、或電源275之類的電源向第一端子310、第二端子311、和/或基板支撐組件238提供電壓。所提供的電壓在一或多個天線320的每個天線與一或多個天線321的每個天線之間建立電場。在一或多個天線320的天線與一或多個天線321的相鄰天線之間的電場將會最強。天線320、321的交錯且對準的空間關係在與基板支撐組件238的第一表面234所界定的平面平行的方向上產生電場。基板240定位在第一表面234上,使得潛像線255平行於由電極組件216產生的電場線。由於帶電物質355帶電,因此帶電物質355受到電場的影響。電場沿電場的方向驅動光阻層250中的光酸產生劑產生的帶電物質355。透過沿平行於潛像線255的方向驅動帶電物質355,可以減小線邊緣粗糙度。雙向箭頭370示出均勻的方向運動。相反地,當沒有將電壓施加到第一端子310或第二端子311時,不會產生電場來沿任何特定方向驅動帶電物質355。結果,帶電物質355可以如箭頭370'所示隨機移動,這可能導致警示(wariness)或線粗糙度。
圖4描繪了在微影曝光處理之後設置在基板400上的膜結構404。光阻層407設置在膜結構404上。膜結構404包括設置在基板400上的目標層(target layer)402。隨後圖案化目標層402,以在目標層402中形成期望的裝置特徵。應注意,附加層(例如硬遮罩)、底層(例如有機材料、無機材料、或有機或無機材料的混合物)、或其他合適的材料,可以根據需要設置在目標層402上並且在光阻層407下方,以增強微影解析度和光阻輪廓控制。
光阻層407可以是能夠進行化學放大反應的正性光阻劑和/或負性光阻劑。光阻層407是聚合物有機材料。
在使用底層或硬遮罩層的實施例中,底層可包含一或多種添加劑,例如酸劑(acid agents)(例如光酸產生劑(photoacid generators,PAG)或酸催化劑)、鹼劑(base agents)、助黏劑、或光敏成分。可以將一或多種添加劑置於有機溶劑或樹脂和/或無機基質材料中。包括光酸產生劑(PAG)和/或酸催化劑的酸劑的合適範例可選自由以下組成的組:磺酸(例如對甲苯磺酸,苯乙烯磺酸),磺酸鹽(例如pyridinium p-toluenesulfonate、pyridinium trilluoromethanesulfonate、pyridinium 3-nitrobenzensulfonate)、及其混合物。合適的有機溶劑可包括均聚物(homo-polymers)或含有兩個或更多個重複單元和聚合物骨架的更高聚合物。有機溶劑的合適範例包括但不限於丙二醇甲醚醋酸酯(PGMEA)、乳酸乙酯(EL)、丙二醇甲醚(PGME)、丙二醇正丙醚(propylene glycol n-propyl ether,PnP),環己酮、丙酮,加馬丁內酯(GBL)及其中之混合物。
在一個範例中,底層在微影曝光處理、曝光前烘烤處理、或曝光後烘烤處理期間提供活性酸劑、鹼劑、或離子/非離子物質,以幫助控制從上部的光阻層407的光酸流動方向。
硬遮罩層可以是由以下組成的組製成的抗反射塗層(ARC):氧化矽、氮化矽、氧氮化矽、碳化矽、非晶碳、摻雜的非晶碳、TEOS氧化物、USG、SOG、有機矽、含氧化物的材料氮化鈦、氮氧化鈦、其中之組合等。
如上所述,可以在微影曝光處理、曝光前烘烤處理、或曝光後烘烤處理,尤其是曝光後烘烤處理期間,施加來自電極116的電場以及來自磁體296的磁場。在圖4所示的範例中,在曝光後烘烤處理期間在微影曝光處理之後施加電場和/或磁場。在曝光後烘烤處理期間,熱能以及電場和/或磁場被施加到基板400。在光阻層407中的第一區域408中產生光酸,如圖4中的e -所示,在該處其中之光酸產生劑(PAG)已經從先前的微影曝光處理暴露於光輻射412(例如UV光輻射)。然而,通常,光酸的運動通常是隨機的,並且光酸的分佈可能在第一區域408中不均勻地分佈,或者可能沒有在第一區域408和第二區域406之間界定的平面中形成的介面430(與第二區域406連接)設置有明確的邊界,導致部分光酸漂移並擴散到第二區域406,如箭頭422所示,不意謂具有光酸產生。如此,如箭頭422所示,橫向光酸移動(例如,平行於基板400的平面的方向)漂移到第二區域406中,可能導致線邊緣粗糙度、解析度損失、光阻劑基腳(photoresist footing)、輪廓變形、和/或在微影處理期間縮小焦深(DOF)的窗,從而導致將不精確的特徵轉移到下面的目標層402和/或最終導致裝置故障。
儘管本文討論的範例示為電子從光酸的移動,應注意,當電場被施加到光阻層407時,任何合適的物質,包括電荷、帶電粒子、光子、離子、電子、或任何形式的反應性物質,也可能具有相似的作用。
透過在曝光後烘烤處理期間向光阻層407施加電場和/或磁場,可以有效地使光酸在暴露的第一區域408中的分佈改變方向、受控制、和受限制。施加到光阻層407的電場可以以最小的橫向運動(例如,由箭頭422示出的x方向)在垂直方向(例如,由箭頭416和420示出的y方向,基本垂直於基板400的平面)上移動光酸,而不會擴散到相鄰的第二區域406中。通常,光酸可具有可被施加於其上的電場或磁場影響的某些極性,從而使光酸在某些方向上定向,並在暴露的第一區域408中產生光酸的期望的方向性運動,而不會越過進入相鄰的受保護的第二區域406中。此外,當實行曝光後烘烤處理時,亦可良好地控制、增強、和改善光阻劑線邊緣粗糙度、微影處理期間的焦深(depth of focuse,DOF)的處理窗、以及線臨界尺寸均勻性。
在一個範例中,可以進一步控制光酸以沿如箭頭414所示的橫向平面在縱向方向(例如,由箭頭428所示的z方向,界定定在與由光遮罩410保護的光阻層407的第二區域406相接的平面中)定向地移動,以控制限制在暴露的第一區域408中的光酸的縱向分佈,而不會沿如箭頭422所示的x方向越過進入光阻層407的第二區域406。產生到光阻層407的磁場可以使電子沿著特定的磁力線,例如縱向方向(例如,箭頭428所示的z方向)沿軌道運動(orbit),以便進一步將光酸控制在期望的三維分佈中。磁場和電場之間的相互作用可以根據需要最佳化光酸在特定路徑上的軌道,並限制在暴露的第一區域408中。此外,期望垂直的光酸運動以消除由曝光工具自然產生的駐波,從而提高曝光解析度。
在一個實施例中,在曝光後烘烤處理期間,可將具有強度介於約100 Mv/m與約2000 MV/m之間的電場施加至光阻層407,以將在光阻層407中產生的光酸限制在垂直方向中,例如,沿y方向。在一個實施例中,在曝光後烘烤處理期間,可將介於5 Tesla(T)與500 Tesla(T)之間的磁場與電場一起施加至光阻層407,以限制在光阻層407中產生的光酸以最小橫向方向(例如,沿x方向)在縱向方向和垂直方向(例如,沿y和z方向)中。當暴露於磁場和電場的組合時,所產生的光酸可進一步限制為沿縱向方向分佈,例如沿箭頭428所示的方向,並保留在光阻層407的第一區域408中,在暴露的第一區域408內沿著界面430成平行。
在一個實施例中,可以根據需要分別施加電場和磁場。至少一個電場和磁場的一或多個特徵可以是在施加期間動態地變化,以隨著時間而控制電場與磁場的形狀,例如使電場與磁場在曝光後烘烤處理內的多個週期間具有不同的密度、幅度、和/或形狀。例如,可以以可限制光酸在某些方向和方式上的運動的方式來控制在曝光後烘烤處理期間施加的電場。在一個範例中,在曝光後烘烤處理期間,被供應以產生電場的功率可以被控制在大約100 volts至大約5000 volts之間的範圍內,例如在大約100 volts至大約1000 volts之間的範圍內。
在一個範例中,可以以調製方式施加功率。可透過調製電流和電壓中的至少一個來調製功率。功率調製的頻率大於0.1 Hz,例如在0.5和10 Hz之間。此外,功率施加的工作週期在25%到75%之間,但也可以替代地具有更大或更小的工作週期。例如,可以在以無功率施加的時間段分隔開的多個時間段期間施加功率。
在一個範例中,在保持電流恆定的同時調製電壓。可以以逐步的方式、以線性的方式、或使用其他電壓施加曲線來調製電壓。當以逐步的方式調製時,可以在第一電壓和較低的第二電壓之間調製電壓。在第一範例中,第一和第二電壓均為正。在第二範例中,第一電壓為正,而第二電壓為零。在第三範例中,第一電壓為正,而第二電壓為負。在以上的第二和第三範例中,第一電壓的幅度大於或等於第二電壓的幅度。在以上範例中,施加第一電壓的持續時間可以與施加第二電壓的持續時間相同、更長、或更短。在以上範例中,第一電壓和第二電壓的施加可以以每秒至少兩次循環,例如每秒至少30-120次。此外,第一和第二電壓的施加可以在第一頻率下循環第一時間段,而第一和第二電壓的施加可以在第二頻率下循環第二時間段。選擇性地,可以在施加第一和第二電壓之後並且在循環通過第一和第二電壓的第二施加之前施加第三電壓。
在另一個範例中,在保持電壓恆定的同時調製電流。可以以逐步的方式、以線性的方式、或使用其他電流施加曲線來調製電流。當以逐步的方式調製時,可以在目前電壓和較低的第二電流之間調製電流。在第一範例中,第一電流和第二電流均為正。在第二範例中,第一電流為正,而第二電流為零。在第三範例中,第一電流為正,而第二電流為負。在以上的第二和第三範例中,第一電流的幅度可以大於或等於第二電流的幅度。在以上範例中,施加第一電流的持續時間可以與施加第二電流的持續時間相同、更長、或更短。在以上範例中,第一電流和第二電流的施加可以以每秒至少兩次循環,例如每秒至少30-120次。此外,第一和第二電流的施加可以在第一頻率下循環第一時間段,而第一和第二電流的施加可以在第二頻率下循環第二時間段。選擇性地,可以在施加第一和第二電流之後並且在循環通過第一和第二電流的第二施加之前施加第三電流。
在又另一範例中,電流和電壓兩者被同時調製。
在其他範例中,可以調製磁場和電場中的至少一個或兩者。磁場和/或電場可以在調製或不調製功率的情況下調製。磁場和/或電場的頻率大於0.1 Hz,例如在0.5和10 Hz之間。此外,磁場和/或電場施加的工作週期在25%到75%之間,但可以替代地具有更大或更小的工作週期。例如,可在由無場施加的時間段分隔開的多個時間段期間施加磁場和/或電場中的一者或兩者。
在一個範例中,在不調製磁場的情況下調製電場。可以以逐步的方式、以線性的方式、或使用其他電施加曲線來調製電場。當以逐步的方式調製時,可以在第一電場密度和較低的第二電場密度之間調製電場。在第一範例中,第一電場和第二電場均為正。在第二範例中,第一電場為正,而第二電場為零。在第三範例中,第一電場為正,而第二電場為負。在以上的第二和第三範例中,第一電場的幅度大於或等於第二電場的幅度。在以上範例中,施加第一電場的持續時間可以與施加第二電場的持續時間相同、更長、或更短。在以上範例中,第一電場和第二電場的施加可以以每秒至少兩次循環,例如每秒至少30-120次。此外,第一和第二電場的施加可以在第一頻率下循環第一時間段,而第一和第二電場的施加可以在第二頻率下循環第二時間段。選擇性地,可以在施加第一和第二電場之後並且在循環通過第一和第二電場的第二施加之前施加第三電場。
在另一範例中,在不調製電場的情況下調製磁場。可以以逐步的方式、以線性的方式、或使用其他磁性施加曲線來調製磁場。當以逐步的方式調製時,可以在第一磁場密度和較低的第二磁場密度之間調製磁場。在第一範例中,第一磁場和第二磁場均為正。在第二範例中,第一磁場為正,而第二磁場為零。在第三範例中,第一磁場為正,而第二磁場為負。在以上的第二和第三範例中,第一磁場的幅度大於或等於第二磁場的幅度。在以上範例中,施加第一磁場的持續時間可以與施加第二磁場的持續時間相同、更長、或更短。在以上範例中,第一磁場和第二磁場的施加可以以每秒至少兩次循環,例如每秒至少30-120次。此外,第一和第二磁場的施加可以在第一頻率下循環第一時間段,而第一和第二磁場的施加可以在第二頻率下循環第二時間段。選擇性地,可以在施加第一和第二磁場之後並且在循環通過第一和第二磁場的第二施加之前施加第三磁場。
此外,根據需要,所施加的電壓功率可以處於連續模式或脈沖模式。在一個範例中,被施加以產生電場的電壓功率處於脈沖模式。在一個範例中,為產生電場而供應的電壓功率可以在每個工作週期的約5%至約75%之間脈衝。每個工作週期,例如在每個時間單位之間,在大約0.1秒至大約10秒之間,例如大約5秒。
在一個範例中,所產生的電場的頻率可以在曝光後烘烤處理期間的任何一點被調節或改變。在一個範例中,可在曝光後烘烤處理期間在動態頻率控制模式下產生電場。例如,所產生的電場的頻率可以在設定的時間段期間從第一水平改變為第二水平,例如根據需要從每0.5秒改變到大約每10秒。所產生的電場的頻率可以根據需要在給定的時間模式下在第一水平和第二水平之間來回切換。在每個開關處,電場的頻率的選定水平可以保持確定的時間段,例如根據需要從大約0.5秒到大約5秒之間。透過動態地改變所產生的電場的頻率,還可以根據需要改變光阻層的輪廓。
首先參考圖6中描繪的暴露的光阻層407。與上文討論的圖4中描繪的光阻層407相似,光阻層407具有側壁604和頂表面602。光阻層407設置在目標層402的頂表面606上。頂表面602和側壁604界定第一角度α(例如,隅角(corner angle))。當利用在曝光後烘烤處理期間施加的電場的動態頻率控制模式時,可以獲得第一角度α並將其控制在大約75度至105度之間,例如大約85度至大約95度之間,例如接近90度的理想直角。類似地,光阻層407的側壁604和目標層402的頂表面606亦界定了介於約75度和105度之間的第二角度β(例如,隅角),例如85度和約95度之間,例如接近90度的理想直角。由於常規實施經常在目標層402的頂表面606上留下浮渣(scum),透過利用在曝光後烘烤處理期間施加的電場的動態頻率控制模式,可以得到相對乾淨的表面,例如無殘留物和/或浮渣的表面。此外,由於更清潔的表面,在顯影處理之後,也可以更好地控制光阻層407的輪廓。可以消除一些缺陷,例如光阻劑基腳(photoresist footing)、側壁懸垂(sidewall overhang)、或頂部漸縮(top portion taper),以提供具有期望輪廓的光阻層407,例如基本上垂直的側壁和/或將第一角度α和第二角度β控制在大約85度至大約95度之間。
因此,透過精確地控制光阻層407的輪廓,也可以在轉移到目標層402(例如,材料層)中的特徵的拐角處獲得類似的角度控制。因此,轉移到目標層402的特徵或開口亦可具有良好的輪廓,其中期望的隅角被控制在大約85度至大約95度之間的範圍內。因此,利用光阻層407的良好控制和期望的輪廓,透過增強和擴大的處理窗,特別是在微影處理期間的焦深(DOF),可以獲得微影處理的高解析度。例如,處理窗針對焦深(DOF)可以從20%增加到大約95%。
此外,在曝光後烘烤處理期間,可以以亦有助於限制光酸在光阻層407中的移動的方式來控制提供給基板400和光阻層407的熱能。可透過控制佈置在基板支撐組件238中的嵌入式加熱器232來提供熱能。在一個範例中,可將基板400的溫度控制在約攝氏10度(諸如室溫)至約攝氏130度之間,例如約攝氏120度。可以相信,在曝光後烘烤處理期間提供的熱能可以增強由電場和/或磁場驅動的電子的動能或動量,從而可提高光酸運動的控制效率。
在一些實施例中,供應到基板400的熱能可以在供應電場和/或磁場的時間段之前、與之同步、或之後。在一個範例中,在將電場和/或磁場施加到基板400之前,將熱能(例如,啟動放置基板400的基板支撐組件238中的加熱器232)提供給基板400。可以相信,在電場和/或磁場之前提供的熱能可以幫助將電子活化(activatring)到活化狀態(active state),從而使電子在預定的移動路徑上相對容易被限制或加速,從而在曝光後烘烤處理期間增強光阻層的電性能,例如光子吸收效率、劑量敏感性、或漂移方向性控制。由於不僅透過電場/磁場,而且透過熱能來活化和/或驅動電子,所以可以將諸如用於實行曝光後烘烤處理的總時間的總處理時間減少到比在曝光後烘烤處理期間僅施加熱能的處理時間少大約5%至大約40%之間的範圍,例如大約20%。
在曝光後烘烤處理之後,可以根據需要實行各向異性蝕刻處理或其他合適的圖案化/蝕刻處理,以將特徵轉移到目標層402中。
圖5描繪了用於利用電場和磁場以在曝光後烘烤處理期間輔助控制光阻層中的光酸分佈/擴散/方向性控制的方法500的流程圖。方法500透過將基板(如上述基板400)放置在其中設置有電極組件和磁性組件的處理腔室(例如,圖2-圖3中描繪的處理腔室200)中而開始於操作502。
在操作504,在基板400被定位之後,可將電場和/或磁場單獨地或共同地施加到處理腔室(在微影曝光處理和/或曝光後烘烤處理期間)以控制在其下設置有底層的光阻層中的光酸運動。應注意,電場和/或磁場可以在烘烤基板400的同時、之前、或之後施加,如將在操作506處進一步討論的。換言之,可以根據需要在操作506處的烘烤處理之前或之後實行在操作504處的單獨或共同施加電場和/或磁場到基板。
在將電場和/或磁場分別或共同施加到光阻層和設置在基板上的底層之後,所產生的光酸可主要沿垂直方向、縱向、環形方向、或任何如所需的所想要的方向移動。由於在曝光後烘烤處理期間電場和/或磁場提供的幫助,可以有效地控制光阻層中的光酸運動。
在操作506,提供熱能以烘烤(例如,固化)光阻層。在曝光後烘烤處理期間,也可以向光阻層提供能量(例如,電能、熱能、或其他合適的能量)。在此處描繪的一個範例中,能量是在曝光後烘烤處理期間提供給基板的熱能,以及在操作504處施加的電場和/或磁場。透過在操作506處在施加電場和/或磁場的同時利用動態頻率控制模式,可以獲得期望的邊緣輪廓,其具有高解析度、劑量敏感性、抗線塌陷(line collapse)、針對焦深(DOF)的增強處理窗和最小的線邊緣粗糙度。可以有效地引導光阻層中的光酸、淬滅體、離子、電子、和其他帶電物質,以便沿期望的方向移動。因此,在曝光後烘烤處理期間施加電場和/或磁場的好處包括對焦深(DOF)的增強的處理窗、所需的最小線邊緣粗糙度、線寬粗糙度、局部臨界尺寸均勻性、臨界尺寸可行性、和奈米缺陷(例如抗蝕浮渣(resist scumming)、線合併(line merge)、線斷裂(line breaking)等)減少。結果,改善了裝置產量。
在一個範例中,提供以控制電場和/或磁場的功率可以根據需要處於連續模式、脈沖模式、或混合的連續或脈沖模式的組合中。
先前描述的實施例具有許多優點,包括以下優點。例如,本文揭示的實施例可以透過在曝光後烘烤處理期間在施加電場和/或磁場時透過動態頻率控制模式來以高解析度和尖銳邊緣輪廓來減小或消除線邊緣/寬粗糙度,並且在微影處理期間增強焦深(DOF)的處理窗。前述優點是說明性的而不是限制性的。並不必然所有實施例都具有所有的優點。
雖然前述內容是針對本揭示的實施例,但可在不脫離本揭示的基本範疇的情況下設計本揭示的其他和進一步的實施例,並且其中之範疇由隨附申請專利範圍來判定。
100:基板 102:目標材料 104:光阻層 106:開口 108:線寬粗糙度 116:電極 150:輪廓 200:處理腔室 202:腔室壁 204:供應源 206:側壁 208:底部 210:蓋組件 212:處理空間 214:泵送口 216:電極組件 224:主體 226:第二表面 232:加熱器 234:第一表面 238:基板支撐組件 240:基板 242:桿 245:材料層 246:波紋管 250:光阻層 255:潛像線 258:第一電極 260:第二電極 270:電源 274:電源 275:電源 280:入口 290:致動器 296:磁體 310:第一端子 311:第二端子 320:天線 321:天線 323:終端 325:終端 330:第一支撐結構 331:第二支撐結構 355:帶電物質 358:第一電極 370:箭頭 400:基板 402:目標層 404:膜結構 406:第二區域 407:光阻層 408:第一區域 410:光遮罩 412:光輻射 414:箭頭 416:箭頭 420:箭頭 422:箭頭 428:箭頭 430:介面 500:方法 502:操作 504:操作 506:操作 602:頂表面 604:側壁 606:頂表面
因此,可以詳細了解本揭示的上述特徵的方法,本揭示的更具體的描述,簡要概述於上,可參照實施例,其中一些實施例描繪在隨附圖式中 。然而,應當注意,隨附圖式僅示出本揭示的典型實施例,且因此不應將其視為限制其範圍,因為本揭示可承認其他等效的實施例。
圖1描繪了本領域常規地設置在基板上的圖案化光阻層的示例性結構的正交截面圖;
圖2是根據一個實施例的用於處理基板的設備的示意性截面圖;
圖3是佈置在圖2的設備中的電極組件的一個實施例的俯視截面圖;
圖4描繪了在曝光後烘烤處理期間設置在膜結構上的光阻層的酸分佈控制;
圖5是在曝光處理和曝光後烘烤處理期間控制光阻層的酸分佈的一種方法的流程圖;和
圖6描繪了在曝光後烘烤處理之後在基板上的光阻層的示意性截面圖。
為了便於理解,在可能的情況下,使用相同的元件符號來表示圖中共同的相同元件。另外,一個實施例的元件可以有利地適用於本揭示所述的其他實施例中。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
500:方法
502:操作
504:操作
506:操作

Claims (20)

  1. 一種處理一基板的方法,該方法包括以下步驟: 在設置在一基板上的一材料層上施加包括一光酸產生劑的一光阻層; 在一微影曝光處理中,使未受一光遮罩保護的該光阻層的一第一部分暴露於光輻射; 在一曝光後烘烤處理中向該光阻層提供一熱能; 在實行該曝光後烘烤處理同時,施加一電場或一磁場中的至少一者; 在向該光阻層提供該熱能同時,動態地改變該電場或該磁場中的至少一者的一特性,而將該光阻層內的粒子之方向從一第一方向改變成一第二方向; 在實行該曝光後烘烤處理同時,控制一光阻劑線邊緣粗糙度和抗蝕浮渣(resist scumming); 去除該光阻層的該第一部分以在該光阻層中形成開口;和 實行一蝕刻處理以透過該光阻層中的該等開口蝕刻該材料層且在該材料層中形成特徵。
  2. 如請求項1所述之方法,其中動態地改變該電場或該磁場中的至少一者的該特性,而控制該光阻劑線邊緣粗糙度和抗蝕浮渣。
  3. 如請求項1所述之方法,其中施加該電場或該磁場進一步包括: 每秒至少兩次循環該電場或該磁場的該施加。
  4. 如請求項1所述之方法,其中動態地改變該電場或該磁場中的至少一者的一特性進一步包括: 以逐步的方式、線性方式、或功率曲線來施加功率。
  5. 如請求項4所述之方法,其中在一第一電場密度和較低的一第二電場密度之間調製產生該電場的功率。
  6. 如請求項4所述之方法,其中產生該電場的功率是透過下述方式調製:在一第一電壓和較低的一第二電壓之間調製電壓以提供一第一電場和一第二電場,其中產生該第一電場和該第二電場的該第一電壓和該第二電壓兩者均為正。
  7. 如請求項4所述之方法,其中產生該電場的功率是透過下述方式調製:在一第一電壓和較低的一第二電壓之間調製電壓以提供一第一電場和一第二電場,其中產生該第一電場和該第二電場的該第一電壓和該第二電壓之其中一者為0。
  8. 如請求項4所述之方法,其中產生該電場的功率是透過下述方式調製:在一第一電壓和較低的一第二電壓之間調製電壓以提供一第一電場和一第二電場,其中產生該第一電場和該第二電場的該第一電壓和該第二電壓具有相反的極性。
  9. 如請求項4所述之方法,其中產生該電場的功率被調製以提供一第一電場和一第二電場,其中該第一電場的一幅度、形狀、或功率密度中的至少一者大於或等於該第二電場的一幅度、形狀、或功率密度中的至少一者。
  10. 如請求項1所述之方法,其中在該曝光後烘烤處理期間,將一電場強度控制在大約100 MV/m至大約2000 MV/m之間。
  11. 如請求項1所述之方法,其中施加該電場或該磁場進一步包括: 將該磁場控制在大約5 Tesla(T)和大約500 Tesla(T)之間的一範圍內。
  12. 如請求項1所述之方法,其中該粒子是一電荷、一帶電粒子、一光子、一離子、一電子、或一反應性物質之一者,且被配置成具有由該電場或磁場施加在其上的運動。
  13. 如請求項1所述之方法,其中該第二方向垂直該第一方向。
  14. 如請求項13所述之方法,其中該第一方向平行該基板的一頂表面,且該第二方向垂直該基板的該頂表面。
  15. 一種處理一基板的方法,該方法包括以下步驟: 在一基板上施加一光阻層; 在一微影曝光處理中,將未受一光遮罩保護的該光阻層的一第一部分暴露於一光輻射; 對該光阻層實行一曝光後烘烤處理; 在向該光阻層提供熱能時,在該光阻層中垂直地將一光酸產生劑的一漂移方向從一第一漂移方向改變至一第二漂移方向,其中該第二漂移方向與該第一漂移方向垂直,且改變該光酸產生劑的該漂移方向是藉由在該曝光後烘烤處理期間動態地改變一電場或一磁場而實行,以控制一光阻劑線邊緣粗糙度和抗蝕浮渣; 去除該光阻層的該第一部分以在該光阻層中形成開口;和 實行一蝕刻處理以透過該光阻層中的該等開口蝕刻該材料層且在該材料層中形成特徵。
  16. 如請求項15所述之方法,其中改變該光酸產生劑的該漂移方向進一步包括: 調製一電場以提供一第一電場和一第二電場,其中在向該光阻層提供該熱能時,該第一電場的一幅度、形狀、或功率密度中的至少一者大於或等於該第二電場的一幅度、形狀、或功率密度中的至少一者。
  17. 如請求項15所述之方法,其中該光酸產生劑包括一電荷、一帶電粒子、一光子、一離子、一電子、或一反應性物質,且被配置成具有由該電場或磁場施加在其上的運動。
  18. 如請求項15所述之方法,其中該調製該電場進一步包括: 以逐步的方式、線性方式、或功率曲線來施加功率。
  19. 如請求項15所述之方法,其中改變該光酸產生劑的該漂移方向進一步包括: 調製磁場。
  20. 如請求項16所述之方法,其中該調製該電場進一步包括: 在該曝光後烘烤處理的不同時間段的期間施加一第一電壓和一第二電壓,其中具備下述特徵之至少一者:(a)該第一電壓為零或具有一正極性,及(b)該第二電壓為零或具有一負極性。
TW112131130A 2020-03-20 2021-03-10 用於光阻圖案化的微影處理窗增強 TWI844448B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/825,393 US11429026B2 (en) 2020-03-20 2020-03-20 Lithography process window enhancement for photoresist patterning
US16/825,393 2020-03-20

Publications (2)

Publication Number Publication Date
TW202349139A true TW202349139A (zh) 2023-12-16
TWI844448B TWI844448B (zh) 2024-06-01

Family

ID=

Also Published As

Publication number Publication date
US20220413387A1 (en) 2022-12-29
JP2023518754A (ja) 2023-05-08
WO2021188218A1 (en) 2021-09-23
US20210294216A1 (en) 2021-09-23
TWI816094B (zh) 2023-09-21
EP4121820A4 (en) 2024-04-24
US11429026B2 (en) 2022-08-30
EP4121820A1 (en) 2023-01-25
TW202201146A (zh) 2022-01-01
CN115244469A (zh) 2022-10-25
US11914299B2 (en) 2024-02-27
KR20220150390A (ko) 2022-11-10

Similar Documents

Publication Publication Date Title
TWI816094B (zh) 用於光阻圖案化的微影處理窗增強
US11880137B2 (en) Film structure for electric field guided photoresist patterning process
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
JP6582081B2 (ja) 電場/磁場案内された酸拡散
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
US9280070B2 (en) Field guided exposure and post-exposure bake process
US20210294215A1 (en) Photoresist patterning process
WO2016060759A1 (en) Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US20210041785A1 (en) Process control of electric field guided photoresist baking process