KR101780407B1 - 전기장/자기장 가이딩 산 확산 - Google Patents

전기장/자기장 가이딩 산 확산 Download PDF

Info

Publication number
KR101780407B1
KR101780407B1 KR1020167034068A KR20167034068A KR101780407B1 KR 101780407 B1 KR101780407 B1 KR 101780407B1 KR 1020167034068 A KR1020167034068 A KR 1020167034068A KR 20167034068 A KR20167034068 A KR 20167034068A KR 101780407 B1 KR101780407 B1 KR 101780407B1
Authority
KR
South Korea
Prior art keywords
electrode
substrate
antenna
antennas
rti
Prior art date
Application number
KR1020167034068A
Other languages
English (en)
Other versions
KR20170013275A (ko
Inventor
펭 시
루도빅 고데트
트리스탄 마
요제프 씨. 올슨
크리스토퍼 벤처
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170013275A publication Critical patent/KR20170013275A/ko
Application granted granted Critical
Publication of KR101780407B1 publication Critical patent/KR101780407B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Coating Apparatus (AREA)

Abstract

포토리소그래피에 의해 형성되는 라인들에서의 라인 에지/폭 거칠기를 최소화하기 위한 방법들 및 장치들이 제공된다. 리소그래피 프로세스 동안 광산 발생제에 의해 발생되는 산(acid)의 무작위 확산(random diffusion)은 라인 에지/폭 거칠기에 기여한다. 본원에서 개시되는 방법들은 포토리소그래피 프로세스들 동안 전기장 및/또는 자기장을 인가(apply)한다. 필드 인가(field application)는, 라인 및 간격(spacing) 방향을 따라, 광산 발생제에 의해 발생되는 산들의 확산을 제어함으로써, 무작위 확산으로부터 야기되는 라인 에지/폭 거칠기를 막는다. 상기 설명된 방법들을 수행하기 위한 장치들이 또한 본원에서 개시된다.

Description

전기장/자기장 가이딩 산 확산{ELECTRIC/MAGNETIC FIELD GUIDED ACID DIFFUSION}
[0001] 본 개시내용은 일반적으로, 기판을 프로세싱하기 위한 방법들 및 장치들에 관한 것으로서, 보다 구체적으로는, 포토레지스트 라인 에지/폭 거칠기(line edge/width roughness)를 제어하기 위한 방법들 및 장치들에 관한 것이다.
[0002] 집적 회로들은, 단일 칩 상에 수백만 개의 컴포넌트들(예를 들어, 트랜지스터들, 캐패시터들 및 저항기들)을 포함할 수 있는 복잡한 디바이스들로 진화하였다. 포토리소그래피는 칩 상에 컴포넌트들을 형성하기 위해 사용될 수 있다. 일반적으로, 포토리소그래피의 프로세스는 몇 개의 기본적인 스테이지들을 수반한다. 처음에, 포토레지스트 층이 기판 상에 형성된다. 포토레지스트 층은, 예를 들어 스핀-코팅에 의해 형성될 수 있다. 화학 증폭성 포토레지스트(chemically amplified photoresist)는 레지스트 수지(resist resin) 및 광산 발생제(photoacid generator)를 포함할 수 있다. 광산 발생제는, 이후의 노광 스테이지에서 전자기 방사(electromagnetic radiation)에 노출되면, 현상 프로세스에서 포토레지스트의 용해성(solubility)을 변화시킨다. 전자기 방사는 임의의 적합한 파장, 이를테면 EUV(extreme ultra violet) 영역에서의 파장을 가질 수 있다. 전자기 방사는, 예를 들어, 193 nm ArF 레이저, 전자 빔, 이온 빔 또는 다른 소스와 같은 임의의 적합한 소스로부터 비롯될 수 있다. 이후, 과도한 용제(solvent)가 노광-전 베이크 프로세스(pre-exposure bake process)에서 제거될 수 있다.
[0003] 노광 스테이지에서, 기판의 특정 영역들을 전자기 방사에 선택적으로 노출시키기 위해, 포토마스크 또는 레티클이 사용될 수 있다. 다른 노광 방법들은 마스크리스(maskless) 노광 방법들일 수 있다. 광에 대한 노출은, 광산 발생제를 분해할 수 있으며, 이는 산을 발생시키며, 결과적으로, 레지스트 수지에 산 잠상(latent acid image)을 초래한다. 노광 이후, 기판은 노광-후 베이크 프로세스(post-exposure bake process)에서 가열될 수 있다. 노광-후 베이크 프로세스 동안, 광산 발생제에 의해 발생되는 산은 레지스트 수지와 반응하여, 이후의 현상 프로세스 동안 레지스트의 용해성을 변화시킨다.
[0004] 노광-후 베이크 이후, 기판, 및 특히 포토레지스트 층은 현상 및 린싱될(rinsed) 수 있다. 이용되는 포토레지스트의 타입에 따라서, 전자기 방사에 노출되었던, 기판의 영역들은, 제거에 저항력이 있거나 또는 제거가 더 잘되는 경향이 있을 수 있다. 현상 및 린싱 이후, 마스크의 패턴이 기판에 전사된다.
[0005] 칩 설계의 진화는, 더 빠른 회로망 및 더 높은(greater) 회로 밀도를 계속해서 요구한다. 더 높은 회로 밀도에 대한 요구들은 집적 회로 컴포넌트들의 치수들의 감소를 필요로 한다. 집적 회로 컴포넌트들의 치수들이 감소됨에 따라, 반도체 집적 회로 상의 주어진 구역(area)에 보다 많은 엘리먼트들이 배치될 것이 요구된다. 따라서, 리소그래피 프로세스는 기판 상에 훨씬 더 작은 피처(feature)들을 전사시켜야 하며, 리소그래피는 이를 정확하게, 정밀하게, 그리고 손상없이 수행해야 한다. 기판 상에 피처들을 정확하고 정밀하게 전사시키기 위해, 고 해상도 리소그래피는, 작은 파장(small wavelength)들에서 방사를 제공하는 광원을 사용할 수 있다. 작은 파장들은 기판 또는 웨이퍼 상에서의 최소의 프린트가능(printable) 크기를 감소시키는 것을 돕는다. 하지만, 그러한 작은 치수들에서는, 포토레지스트 층의 에지들의 거칠기를 제어하기가 더 어려워졌다.
[0006] 따라서, 라인 에지/폭 거칠기를 제어 및 최소화하기 위한 방법 및 장치에 대한 필요성이 존재한다.
[0007] 일 실시예에서, 기판을 프로세싱하기 위한 장치가 제공된다. 장치는 기판 지지부를 포함한다. 기판 지지부는 기판을 지지하도록 구성되는 표면을 포함한다. 장치는 또한, 기판 지지부 상에 포지셔닝된(positioned) 기판을 가열하도록 구성되는 열 소스를 포함한다. 장치는 또한, 전극 어셈블리를 포함한다. 전극 어셈블리는 적어도 제 1 전극 및 제 2 전극을 포함한다. 전극 어셈블리는, 기판 지지부의 표면에 대해 실질적으로 평행한 방향으로 전기장을 발생시키도록 구성된다.
[0008] 다른 실시예에서, 기판을 프로세싱하기 위한 장치가 제공된다. 장치는 프로세싱 챔버를 포함한다. 장치는 또한, 진공 프로세싱 챔버 내에 포지셔닝되는 기판 지지부를 포함한다. 기판 지지부는 표면을 포함한다. 표면은 기판을 지지하도록 구성된다. 장치는 또한 열 소스를 포함한다. 열 소스는, 기판 지지부 상에 포지셔닝된 기판을 가열하도록 구성된다. 장치는 전극 어셈블리를 더 포함한다. 전극 어셈블리는 제 1 전극 및 제 2 전극을 포함한다. 전극 어셈블리는, 기판 지지부의 표면에 대해 실질적으로 평행한 방향으로 전기장을 발생시키도록 구성된다. 제 1 전극은 지지 구조를 포함하며, 지지 구조는 그 지지 구조로부터 돌출하는(projecting) 하나 또는 그 초과의 안테나들을 갖는다. 제 1 전극의 각각의 안테나는 제 1 전극의 각각의 다른 안테나에 대해 실질적으로 평행하다. 제 2 전극 또한 지지 구조를 포함하며, 지지 구조는 그 지지 구조로부터 돌출하는 하나 또는 그 초과의 안테나들을 갖는다. 제 2 전극의 각각의 안테나는 제 2 전극의 각각의 다른 안테나에 대해 실질적으로 평행하다. 더욱이, 제 1 전극의 각각의 안테나는 제 2 전극의 각각의 안테나에 대해 실질적으로 평행하다. 부가적으로, 제 1 전극의 적어도 하나의 안테나는 제 2 전극의 2개의 안테나들 사이에 포지셔닝되며, 그리고 제 2 전극의 적어도 하나의 안테나는 제 1 전극의 2개의 안테나들 사이에 포지셔닝된다. 장치는, 기판 지지부 상에 포지셔닝된 기판과 전극 어셈블리 사이에 상대 운동(relative motion)을 제공하도록 구성된다.
[0009] 다른 실시예에서, 기판을 프로세싱하는 방법이 제공된다. 방법은, 광산 발생제를 포함하는 포토레지스트 층을 기판에 적용(applying)하는 단계를 포함한다. 방법은 또한, 포토레지스트 층의 부분들을 전자기 방사(electromagnetic radiation)에 노출시켜서, 포토레지스트 층 내에, 전자기 방사에 노출되지 않은, 포토레지스트 층의 부분들과 상이한 화학 특성들을 갖는, 실질적으로 평행한 재료 라인들을 형성하는 단계를 포함한다. 방법은, 기판을 전자기 방사에 노출시킨 후, 기판을 가열하는 단계를 더 포함한다. 방법은, 가열하는 동안 라인들의 방향에 대해 평행한 방향으로 기판에 전기장을 인가하는 단계를 더 포함한다.
[0010] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 일 실시예에 따른, 기판을 프로세싱하기 위한 장치의 개략적인 단면도이다.
[0012] 도 2는 도 1의 포토레지스트 층 및 전극 어셈블리의 일 실시예의 평면도이다.
[0013] 도 3은 기판을 프로세싱하는 하나의 방법의 흐름도이다.
[0014] 도 4는 본원에서 개시되는 실시예들에 따라 기판을 프로세싱하는 데에 사용될 수 있는 대표적인 프로세싱 시스템의 개략도이다.
[0015] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 부가적으로, 일 실시예의 엘리먼트들은 본원에서 설명되는 다른 실시예들에서의 활용을 위해 유리하게 적응될 수 있다.
[0016] 포토리소그래피에 의해 형성되는 라인들에서의 라인 에지/폭 거칠기를 최소화하기 위한 방법들 및 장치들이 제공된다. 노광-후 베이크 절차 동안 광산 발생제에 의해 발생되는 산의 무작위 확산(random diffusion)은 라인 에지/폭 거칠기에 기여한다. 본원에서 개시되는 방법들은 포토리소그래피 프로세스들 동안 전기장 및/또는 자기장을 인가(apply)한다. 필드 인가(field application)는, 라인 및 간격(spacing) 방향을 따라, 광산 발생제에 의해 발생되는 산들의 확산을 제어함으로써, 무작위 확산으로부터 야기되는 라인 에지/폭 거칠기를 막는다. 상기 설명된 방법들을 수행하기 위한 장치들이 또한 본원에서 개시된다.
[0017] 도 1은 일 실시예에 따른, 기판을 프로세싱하기 위한 장치의 개략적인 단면도이다. 도 1의 실시예에서 도시된 바와 같이, 장치는 진공 프로세싱 챔버(100)의 형태일 수 있다. 다른 실시예들에서, 프로세싱 챔버(100)는 진공 소스에 커플링되지 않을 수도 있다.
[0018] 프로세싱 챔버(100)는 독립적인 프로세싱 챔버일 수 있다. 대안적으로, 프로세싱 챔버(100)는, 예를 들어, 도 4에 도시된 트랙 프로세싱 시스템, 클러스터 프로세싱 시스템, 또는 인-라인 프로세싱 시스템과 같은 프로세싱 시스템의 일부(part)일 수 있다. 도 4는 본원에서 개시되는 실시예들에 따라 기판을 프로세싱하기 위해 사용될 수 있는 하나의 대표적인 프로세싱 시스템을 도시한다. 도시된 바와 같이, 프로세싱 시스템(400)은 로드 포트(load port)(410), 코팅 챔버(420), 프로세싱 챔버(100), 노광 챔버(430)(이를테면, 스캐너(scanner)), 제 2 프로세싱 챔버(100), 현상 챔버(440), 및 포스트-프로세스 챔버(post-process chamber)(450)를 포함한다. 도시된 바와 같이, 프로세싱 시스템(400)의 각각의 챔버는, 이송 챔버(405) 또는 이송 챔버(415)에 의해 각각의 인접하는 챔버에 커플링된다. 이송 챔버들(405) 및 이송 챔버(415)는 실질적으로 유사하거나 또는 상이할 수 있다.
[0019] 로드 포트(410)는 프로세싱 시스템(400) 내로 기판들 또는 웨이퍼들을 도입하거나 또는 프로세싱 시스템(400)으로부터 기판들 또는 웨이퍼들을 제거하기 위해 사용될 수 있다. 코팅 챔버(420)는, 예를 들어, 기판에 포토레지스트를 적용하기(apply) 위해 사용될 수 있다. 코팅 챔버(420)는, 예를 들어, 스핀 코터(spin coater)일 수 있다. 노광 챔버(430)는, 기판 상의 포토레지스트 층에 산 잠상을 형성하기 위해, 기판을 전자기 에너지에 노출시키기 위해 사용될 수 있다. 현상 챔버(440)는, 예를 들어, 포토레지스트 층의 부분들을 제거하기 위해 사용될 수 있다. 포스트-프로세스 챔버(450)는, 예를 들어, 기판 상에서 여러가지 포스트-프로세싱 단계들을 수행하기 위해 사용될 수 있다. 프로세싱 챔버(100)는 하기에서 상세히 설명되며, 그리고 노광-전 베이크, 노광-후 베이크, 및/또는 다른 프로세싱 단계들을 위해 사용될 수 있다. 하기 설명되는 바와 같이, 프로세싱 챔버(100)는 전극 어셈블리(116)를 포함한다. 하지만, 코팅 챔버(420), 노광 챔버(430), 및 현상 챔버(440) 또한, 전극 어셈블리(116)를 포함할 수 있음을 이해해야 한다.
[0020] 프로세싱 챔버(100)는 챔버 벽들(102), 전극 어셈블리(116), 및 기판 지지 어셈블리(138)를 포함한다. 챔버 벽들(102)은 측벽들(106), 리드 어셈블리(lid assembly)(110) 및 바닥부(108)를 포함한다. 챔버 벽들(102)은 프로세싱 볼륨(processing volume)(112)을 부분적으로 둘러싼다. 프로세싱 볼륨(112)은, 프로세싱 챔버(100) 내로의 그리고 프로세싱 챔버(100)로부터의 기판(140)의 이동을 가능하게 하도록 구성된 기판 이송 포트(미도시)를 통해 액세스된다. 프로세싱 챔버(100)가 프로세싱 시스템의 일부인 실시예들에서, 기판 이송 포트는 기판(140)이 이송 챔버로 그리고 이송 챔버로부터 이송되는 것을 가능하게 할 수 있다.
[0021] 프로세싱 볼륨(112)을 배기 포트에 커플링시키기 위해, 펌핑 포트(114)가 프로세싱 챔버(100)의 바닥부(108), 측벽들(106), 또는 리드 어셈블리(110) 중 하나를 통해 선택적으로 배치될 수 있다. 배기 포트는 펌핑 포트(114)를 다양한 진공 펌핑 컴포넌트들, 이를테면 진공 펌프에 커플링시킨다. 펌핑 컴포넌트들은 프로세싱 볼륨(112)의 압력을 감소시킬 수 있고, 임의의 가스들 및/또는 프로세스 부산물들을 프로세싱 챔버(100) 밖으로 배기할 수 있다. 프로세싱 챔버(100)는, 프로세싱 볼륨(112) 내로 하나 또는 그 초과의 소스 화합물(source compound)들을 전달하기 위해 하나 또는 그 초과의 공급 소스들(104)에 커플링될 수 있다.
[0022] 기판 지지 어셈블리(138)가 프로세싱 챔버(100) 내에 중앙에 배치된다. 기판 지지 어셈블리(138)는 프로세싱 동안 기판(140)을 지지한다. 기판 지지 어셈블리(138)는, 적어도 하나의 매립형 가열기(embedded heater)(132)를 인캡슐레이팅(encapsulate)하는 알루미늄 본체(124)를 포함할 수 있다. 몇몇 실시예들에서, 기판 지지 어셈블리(138)는 정전 척일 수 있다. 가열기(132), 이를테면 저항성 엘리먼트(resistive element)는 기판 지지 어셈블리(138) 내에 배치된다. 가열기(132)는, 기판 지지 어셈블리(138) 및 그 위에 포지셔닝된 기판(140)을 미리 결정된 온도로 제어가능하게 가열한다. 가열기(132)는, 기판(140)의 온도를 빠르게 램핑(ramp)하고 기판(140)의 온도를 정밀하게 제어하도록 구성된다. 몇몇 실시예들에서, 가열기(132)는 전력 소스(174)에 연결되며 전력 소스(174)에 의해 제어된다. 대안적으로 또는 부가적으로, 전력 소스(174)는 기판 지지 어셈블리(138)에 전력을 인가할 수 있다. 전력 소스(174)는, 하기 논의되는 전력 소스(170)와 유사하게 구성될 수 있다.
[0023] 몇몇 실시예들에서, 기판 지지 어셈블리(138)는 회전하도록 구성될 수 있다. 몇몇 실시예들에서, 기판 지지 어셈블리(138)는 z-축을 중심으로 회전하도록 구성될 수 있다. 기판 지지 어셈블리(138)는 연속적으로 또는 끊임없이 회전하도록 구성될 수 있거나, 또는 기판 지지 어셈블리(138)는 단차적 방식(step manner)으로 회전하도록 구성될 수 있다. 예를 들어, 기판 지지 어셈블리(138)는 미리 결정된 양, 이를테면 90o, 180o, 또는 270o 회전할 수 있으며, 그런 다음, 회전은 미리 결정된 시간량 동안 중단될 수 있다.
[0024] 다른 실시예들에서, 프로세싱 챔버(100)는 다른 가열 소스들을 포함할 수 있다. 예를 들어, 가열 램프(heat lamp)들이 프로세싱 챔버(100) 내에 또는 프로세싱 챔버(100) 바깥쪽에 포지셔닝될 수 있다. 다른 실시예들에서, 하나 또는 그 초과의 레이저들이, 전극 어셈블리(116)의 안테나들(220 및 221) 및/또는 기판(140) 상에 포지셔닝된 포토레지스트 층(150)(또는 다른 층)을 가열하기 위해 사용될 수 있다. 다른 실시예들에서, 기판 지지 어셈블리(138)는, 기판 지지 어셈블리(138) 상에 포지셔닝된 기판(140)의 온도를 보다 빠르게 증가시키기 위해, 고 효율 열 전달 유체를 순환시키도록 구성될 수 있다.
[0025] 일반적으로, 기판 지지 어셈블리(138)는 제 1 표면(134) 및 제 2 표면(126)을 갖는다. 제 1 표면(134)은 제 2 표면(126) 반대편에(opposite) 있다. 제 1 표면(134)은 기판(140)을 지지하도록 구성된다. 제 2 표면(126)에는 스템(stem)(142)이 커플링된다. 기판(140)은 기판 지지 어셈블리(138)의 제 1 표면(134) 상에 포지셔닝된다. 기판(140)은 임의의 타입의 기판, 이를테면 유전체 기판, 유리 기판, 반도체 기판, 또는 전도성 기판일 수 있다. 기판(140)은 그 위에 배치된 층(145)을 가질 수 있다. 층(145)은 임의의 요구되는 층일 수 있다. 다른 실시예들에서, 기판(140)은 하나 초과의 층(145)을 가질 수 있다. 기판(140)은 또한, 층(145) 위에 배치된 포토레지스트 층(150)을 갖는다. 기판(140)은 포토리소그래피 프로세스의 노광 스테이지에서 전자기 방사에 이전에 노출되었다. 포토레지스트 층(150)은 노광 스테이지로부터 내부에 형성된 잠상 라인들(latent image lines)(155)을 갖는다. 잠상 라인들(155)은 실질적으로 평행할 수 있다. 다른 실시예들에서, 잠상 라인들(155)은 실질적으로 평행하지 않을 수도 있다. 또한 도시된 바와 같이, 기판 지지 어셈블리(138)의 제 1 표면(134)은 z-방향으로 거리(d) 만큼 전극 어셈블리(116)로부터 떨어져있다. 스템(142)은, (도시된 바와 같은) 상승된 프로세싱 포지션과 하강된 기판 이송 포지션 사이에서 기판 지지 어셈블리(138)를 이동시키기 위해 리프트 시스템(미도시)에 커플링된다. 리프트 시스템은 z-방향에서의 기판(140)의 포지션을 정밀하게 그리고 정확하게 제어할 수 있다. 몇몇 실시예들에서, 리프트 시스템은 또한, x-방향, y-방향, 또는 x-방향 및 y-방향으로 기판(140)을 이동시키도록 구성될 수 있다. 스템(142)은 부가적으로, 기판 지지 어셈블리(138)와 프로세싱 챔버(100)의 다른 컴포넌트들 간에 전기적 그리고 열전쌍(thermocouple) 리드(lead)들을 위한 도관을 제공한다. 벨로우즈(146)가 기판 지지 어셈블리(138)에 커플링되어, 프로세싱 챔버(100) 바깥쪽의 대기와 프로세싱 볼륨(112) 간에 진공 밀봉(vacuum seal)을 제공하고, z-방향에서의 기판 지지 어셈블리(138)의 이동을 가능하게 한다.
[0026] 리드 어셈블리(110)는 유입구(inlet)(180)를 선택적으로 포함할 수 있으며, 유입구(180)를 통해, 공급 소스들(104)에 의해 제공되는 가스들이 프로세싱 챔버(100)에 들어갈 수 있다. 공급 소스들(104)은 선택적으로, 가스, 이를테면 질소, 아르곤, 헬륨, 다른 가스들, 또는 이들의 조합들로 프로세싱 볼륨(112)을 제어가능하게 가압할 수 있다. 공급 소스들(104)로부터의 가스들은 프로세싱 챔버(100) 내에 제어된 환경을 생성할 수 있다. 액추에이터(190)가 전극 어셈블리(116)와 리드 어셈블리(110) 사이에 선택적으로 커플링될 수 있다. 액추에이터(190)는 x, y, 및 z 방향들 중 하나 또는 그 초과의 방향들로 전극 어셈블리(116)를 이동시키도록 구성될 수 있다. x 및 y 방향들은 본원에서 측(lateral) 방향들 또는 치수들로서 지칭된다. 액추에이터(190)는 전극 어셈블리(116)로 하여금 기판(140)의 표면을 스캐닝(scan)할 수 있게 한다. 액추에이터(190)는 또한, 거리(d)가 조정될 수 있게 한다. 몇몇 실시예들에서, 전극 어셈블리(116)는 고정된 스템(미도시)에 의해 리드 어셈블리(110)에 커플링된다. 다른 실시예들에서, 전극 어셈블리(116)는, 프로세싱 챔버(100)의 바닥부(108)의 내부, 기판 지지 어셈블리(138)의 제 2 표면(126), 또는 스템(142)에 커플링될 수 있다. 또 다른 실시예들에서, 전극 어셈블리(116)는 기판 지지 어셈블리(138)의 제 1 표면(134)과 제 2 표면(126) 사이에 매립될 수 있다.
[0027] 전극 어셈블리(116)는 적어도 제 1 전극(158) 및 제 2 전극(160)을 포함한다. 도시된 바와 같이, 제 1 전극(158)은 전력 공급부(170)에 커플링되고, 제 2 전극(160)은 선택적인 전력 공급부(175)에 커플링된다. 다른 실시예들에서, 제 1 전극(158)과 제 2 전극(160) 중 하나는 전력 공급부에 커플링될 수 있으며, 다른 하나의 전극은 접지에 커플링될 수 있다. 몇몇 실시예들에서, 제 1 전극(158) 및 제 2 전극(160)은 접지에 커플링되고, 전력 공급부(174)가 기판 지지부에 전력을 전달하는 바, 이는 양의 바이어스와 음의 바이어스 사이에서 스위칭하는 바이폴라 전력 공급부이다. 몇몇 실시예들에서, 전력 공급부(170) 또는 전력 공급부(175)는 제 1 전극(158) 및 제 2 전극(160) 양자 모두에 커플링될 수 있다. 다른 실시예들에서, 전력 공급부(170) 또는 전력 공급부(175)는 제 1 전극(158), 제 2 전극(160), 및 기판 지지 어셈블리(138)에 커플링될 수 있다. 이러한 실시예들에서, 제 1 전극(158), 제 2 전극(160), 및 기판 지지 어셈블리(138) 각각에 대한 펄스 지연(pulse delay)은 상이할 수 있다. 전극 어셈블리(116)는 기판 지지 어셈블리(138)의 제 1 표면에 의해 정의되는 x-y 평면에 대해 평행한 전기장을 발생시키도록 구성될 수 있다. 예를 들어, 전극 어셈블리(116)는 y 방향, x 방향, 또는 x-y 평면 내의 다른 방향 중 하나의 방향으로 전기장을 발생시키도록 구성될 수 있다.
[0028] 전력 공급부(170) 및 전력 공급부(175)는 전극 어셈블리(116)에, 예를 들어 약 500 V 내지 약 100 kV 를 공급하도록 구성될 수 있다. 몇몇 실시예들에서, 전력 공급부(174)가 또한, 전극 어셈블리(116)에 전력을 제공하도록 구성될 수 있다. 몇몇 실시예들에서, 전력 공급부(170), 전력 공급부(174), 또는 전력 공급부(175) 중 임의의 것 또는 전부는, 펄스형(pulsed) 직류(DC) 전력 공급부이다. 펄스형 DC 파(wave)는 반파(half-wave) 정류기 또는 전파(full-wave) 정류기로부터 비롯될 수 있다. 전력 공급부(170), 전력 공급부(174) 및/또는 전력 공급부(175)는, 약 10 Hz 내지 약 1 MHz, 이를테면 약 5 kHz의 주파수로 전력을 제공하도록 구성될 수 있다. 펄스형 DC 전력의 듀티 사이클은 약 5% 내지 약 95%, 이를테면 약 20% 내지 약 60% 일 수 있다. 몇몇 실시예들에서, 펄스형 DC 전력의 듀티 사이클은 약 20% 내지 약 40% 일 수 있다. 다른 실시예들에서, 펄스형 DC 전력의 듀티 사이클은 약 60% 일 수 있다. 펄스형 DC 전력의 상승(rise) 및 하강(fall) 시간은 약 1 ns 내지 약 1000 ns, 이를테면 약 10 ns 내지 약 500 ns 일 수 있다. 다른 실시예들에서, 펄스형 DC 전력의 상승 및 하강 시간은 약 10 ns 내지 약 100 ns 일 수 있다. 몇몇 실시예들에서, 펄스형 DC 전력의 상승 및 하강 시간은 약 500 ns 일 수 있다. 몇몇 실시예들에서, 전력 공급부(170), 전력 공급부(174) 및 전력 공급부(175) 중 임의의 것 또는 전부는 교류 전력 공급부이다. 다른 실시예들에서, 전력 공급부(170), 전력 공급부(174) 및 전력 공급부(175) 중 임의의 것 또는 전부는 직류 전력 공급부이다.
[0029] 몇몇 실시예들에서, 전력 공급부(170), 전력 공급부(174), 및 전력 공급부(175) 중 임의의 것 또는 전부는 DC 오프셋(offset)을 사용할 수 있다. DC 오프셋은, 예를 들어, 인가되는 전압의 약 0% 내지 약 75%, 이를테면, 인가되는 전압의 약 5% 내지 약 60% 일 수 있다. 몇몇 실시예들에서, 제 1 전극(158) 및 제 2 전극(160)은 음으로(negatively)으로 펄싱되는 한편, 기판 지지 어셈블리 또한 음으로 펄싱된다. 이러한 실시예들에서, 제 1 전극(158) 및 제 2 전극(160) 및 기판 지지 어셈블리(138)는 동기화(synchronized) 되지만 오프셋된다. 예를 들어, 제 1 전극(158)은 "1(one)" 상태에 있을 수 있고 기판 지지 어셈블리는 "0(zero)" 상태에 있을 수 있으며, 이후, 기판 지지 어셈블리(138)는 "1" 상태에 있고 제 1 전극(158)은 "0" 상태에 있다.
[0030] 전극 어셈블리(116)는, 대략, 기판 지지 어셈블리(138)의 폭에 걸쳐있다(span). 다른 실시예들에서, 전극 어셈블리(116)의 폭은 기판 지지 어셈블리(138)의 폭보다 작을 수 있다. 예를 들어, 전극 어셈블리(116)는 기판 지지 어셈블리(138)의 폭의 약 10% 내지 약 80%, 이를테면 약 20% 내지 약 40% 에 걸쳐있을 수 있다. 전극 어셈블리(116)가 기판 지지 어셈블리(138)보다 폭이 더 작은 실시예들에서, 액추에이터(190)는 기판 지지 어셈블리(138)의 제 1 표면(134) 상에 포지셔닝된 기판(140)의 표면을 가로질러서 전극 어셈블리(116)를 스캐닝할 수 있다. 예를 들어, 액추에이터(190)는, 전극 어셈블리(116)가 기판(140)의 전체 표면을 스캐닝하도록, 스캐닝할 수 있다. 다른 실시예들에서, 액추에이터(190)는 기판(140)의 특정 부분들 만을 스캐닝할 수 있다. 대안적으로, 기판 지지 어셈블리(138)는 전극 어셈블리(116) 아래에서 스캐닝할 수 있다.
[0031] 몇몇 실시예들에서, 하나 또는 그 초과의 자석들(196)이 프로세싱 챔버(100)에 포지셔닝될 수 있다. 도 1에 도시된 실시예에서, 자석들(196)은 측벽들(106)의 내부 표면에 커플링된다. 다른 실시예들에서, 자석들(196)은 프로세싱 챔버(100) 바깥쪽에 또는 프로세싱 챔버(100) 내의 다른 위치들에 포지셔닝될 수 있다. 자석들(196)은, 예를 들어, 영구 자석들 또는 전자석들일 수 있다. 대표적인 영구 자석들은 세라믹 자석들 및 희토류 자석들을 포함한다. 자석들(196)이 전자석들을 포함하는 실시예들에서, 자석들(196)은 전력 소스(미도시)에 커플링될 수 있다. 자석들(196)은, 기판 지지 어셈블리(138)의 제 1 표면(134)에서 전극 어셈블리(116)에 의해 발생되는 전기장 라인들의 방향에 대해 평행한 또는 수직인 방향으로 자기장을 발생시키도록 구성된다. 예를 들어, 전극 어셈블리(116)에 의해 발생되는 전기장이 y-방향일 때, 자석들(196)은 x-방향으로 자기장을 발생시키도록 구성될 수 있다. 자기장은, 포토레지스트 층(150) 내의 광산 발생제들에 의해 발생되는 대전된 종(charged species)(255)(도 2에 도시됨) 및 분극화된 종(polarized species)(미도시)을 자기장에 대해 수직인 방향으로, 이를테면 잠상 라인들(155)과 평행한 방향으로 드라이빙(drive)시킨다. 대전된 종(255) 및 분극화된 종을 잠상 라인들(155)과 평행한 방향으로 드라이빙시킴으로써, 라인 거칠기가 감소될 수 있다. 대전된 종(255) 및 분극화된 종의 균일한 방향성 이동은 도 2에서 양두(double headed) 화살표(270)에 의해 도시된다. 대조적으로, 자기장이 인가되지 않을 때, 대전된 종(255) 및 분극화된 종은, 화살표들(270')에 의해 도시된 바와 같이, 무작위로 움직일 수 있다.
[0032] 도 2를 계속해서 참조하면, 전극 어셈블리(116)는 적어도 제 1 전극(158) 및 제 2 전극(160)을 포함한다. 제 1 전극(158)은 제 1 단자(210), 지지 구조(230), 및 하나 또는 그 초과의 안테나들(220)을 포함한다. 제 2 전극(160)은 제 1 단자(211), 지지 구조(231), 및 하나 또는 그 초과의 안테나들(221)을 포함한다. 제 1 전극(158)의 제 1 단자(210), 지지 구조(230), 및 하나 또는 그 초과의 안테나들(220)은 단일 본체(unitary body)를 형성할 수 있다. 대안적으로, 제 1 전극(158)은, 함께 커플링될 수 있는 개별적인 부분들을 포함할 수 있다. 예를 들어, 하나 또는 그 초과의 안테나들(220)은 지지 구조(230)로부터 분리가능할 수 있다. 제 2 전극(160)은 유사하게, 단일 본체일 수 있거나, 또는 개별적인 분리가능한 컴포넌트들로 구성될 수 있다. 제 1 전극(158) 및 제 2 전극(160)은 임의의 적합한 수단에 의해 준비될 수 있다. 예를 들어, 제 1 전극(158) 및 제 2 전극(160)은 머시닝(machining), 주조(casting), 또는 적층 가공(additive manufacturing)에 의해 제조될 수 있다.
[0033] 지지 구조(230)는 전도성 재료, 이를테면 금속으로 제조될 수 있다. 예를 들어, 지지 구조(230)는, 실리콘, 폴리실리콘, 실리콘 탄화물, 몰리브덴, 알루미늄, 구리, 그라파이트, 은, 백금, 금, 팔라듐, 아연, 다른 재료들, 또는 이들의 혼합물들로 제조될 수 있다. 지지 구조(230)는 임의의 요구되는 치수들을 가질 수 있다. 예를 들어, 지지 구조(230)의 길이(L)는 약 25 mm 내지 약 450 mm, 예를 들어, 약 100 mm 내지 약 300 mm 일 수 있다. 몇몇 실시예들에서, 지지 구조(230)는 표준 반도체 웨이퍼의 직경과 거의 같은 길이(L)를 갖는다. 다른 실시예들에서, 지지 구조(230)는 표준 반도체 웨이퍼의 직경보다 더 크거나 또는 더 작은 길이(L)를 갖는다. 예를 들어, 상이한 대표적인 실시예들에서, 지지 구조(230)의 길이(L)는 약 25 mm, 약 51 mm, 약 76 mm, 약 100 mm, 약 150 mm, 약 200 mm, 약 300 mm, 또는 약 450 mm 일 수 있다. 지지 구조(230)의 폭(W)은 약 2 mm 내지 약 25 mm 일 수 있다. 다른 실시예들에서, 지지 구조(230)의 폭(W)은 약 2 mm 미만이다. 다른 실시예들에서, 지지 구조(230)의 폭(W)은 약 25 mm 초과이다. 지지 구조(230)의 두께는 약 1 mm 내지 약 10 mm, 이를테면 약 2 mm 내지 약 8 mm, 이를테면 약 5 mm 일 수 있다. 몇몇 실시예들에서, 지지 구조(230)는, 정사각형, 원통형, 직사각형, 타원형, 로드(rod)들, 또는 다른 형상들일 수 있다. 원형 외부 표면들을 갖는 실시예들이 아킹(arcing)을 피할 수 있다.
[0034] 지지 구조(231)는 지지 구조(230)와 동일한 재료로 제조될 수 있다. 지지 구조(230)에 대해 적합한 치수들의 범위는 또한, 지지 구조(231)에 대해서도 적합하다. 몇몇 실시예들에서, 지지 구조(230) 및 지지 구조(231)는 동일한 재료로 제조된다. 다른 실시예들에서, 지지 구조(230) 및 지지 구조(231)는 서로 상이한 재료들로 제조된다. 지지 구조(230) 및 지지 구조(231)의 길이들(L), 폭들(W) 및 두께들은 동일하거나 또는 상이할 수 있다.
[0035] 제 1 전극(158)의 하나 또는 그 초과의 안테나들(220) 또한, 전도성 재료로 제조될 수 있다. 하나 또는 그 초과의 안테나들(220)은 지지 구조(230)와 동일한 재료들로 제조될 수 있다. 제 1 전극(158)의 하나 또는 그 초과의 안테나들(220)은 임의의 요구되는 치수들을 가질 수 있다. 예를 들어, 하나 또는 그 초과의 안테나들(220)의 길이(L1)는 약 25 mm 내지 약 450 mm, 예를 들어, 약 100 mm 내지 약 300 mm 일 수 있다. 몇몇 실시예들에서, 지지 구조(230)는 표준 웨이퍼의 직경과 거의 같은 길이(L1)를 갖는다. 다른 실시예들에서, 하나 또는 그 초과의 안테나들(220)의 길이(L1)는 표준 웨이퍼의 직경의 약 75% 내지 90% 일 수 있다. 하나 또는 그 초과의 안테나들(220)의 폭(W1)은 약 2 mm 내지 약 25 mm 일 수 있다. 다른 실시예들에서, 하나 또는 그 초과의 안테나들(220)의 폭(W1)은 약 2 mm 미만이다. 다른 실시예들에서, 하나 또는 그 초과의 안테나들(220)의 폭(W1)은 약 25 mm 초과이다. 하나 또는 그 초과의 안테나들(220)의 두께는 약 1 mm 내지 약 10 mm, 이를테면 약 2 mm 내지 약 8 mm 일 수 있다. 하나 또는 그 초과의 안테나들(220)은, 정사각형, 직사각형, 타원형, 원형, 원통형 또는 다른 형상의 단면을 가질 수 있다. 원형 외부 표면들을 갖는 실시예들이 아킹을 피할 수 있다.
[0036] 안테나들(220) 각각은 동일한 치수들을 가질 수 있다. 대안적으로, 하나 또는 그 초과의 안테나들(220) 중 몇몇은 나머지 안테나들(220) 중 하나 또는 그 초과와 상이한 치수들을 가질 수 있다. 예를 들어, 하나 또는 그 초과의 안테나들(220) 중 몇몇은 나머지 안테나들(220) 중 하나 또는 그 초과와 상이한 길이들(L1)을 가질 수 있다. 하나 또는 그 초과의 안테나들(220) 각각은 동일한 재료로 제조될 수 있다. 다른 실시예들에서, 안테나들(220) 중 몇몇은 나머지 안테나들(220)과 상이한 재료로 제조될 수 있다.
[0037] 안테나들(221)은 안테나들(220)과 동일한 범위(range)의 재료들로 제조될 수 있다. 안테나들(220)에 대해 적합한 치수들의 범위는 안테나들(221)에 대해서도 또한 적합하다. 몇몇 실시예들에서, 안테나들(220) 및 안테나들(221)은 동일한 재료로 제조된다. 다른 실시예들에서, 안테나들(220) 및 안테나들(221)은 상이한 재료들로 제조된다. 안테나들(220) 및 안테나들(221)의 길이들(L1), 폭들(W1), 및 두께들은 동일하거나 상이할 수 있다.
[0038] 안테나들(220)은 1개 내지 약 40개의 안테나들(220)을 포함할 수 있다. 예를 들어, 안테나들(220)은 약 4개 내지 약 40개의 안테나들(220), 이를테면 약 10개 내지 약 20개의 안테나들(220)을 포함할 수 있다. 다른 실시예들에서, 안테나들(220)은 40개 초과의 안테나들(220)을 포함할 수 있다. 몇몇 실시예들에서, 안테나들(220) 각각은 지지 구조(230)에 대해 실질적으로 수직일 수 있다. 예를 들어, 지지 구조(230)가 일직선(straight)인 실시예들에서, 각각의 안테나(220)는 지지 구조(230)에 대해 실질적으로 평행할 수 있다. 안테나들(220) 각각은 나머지 안테나들(220) 각각에 대해 실질적으로 평행할 수 있다. 안테나들(221) 각각은 지지 구조(231) 및 각각의 다른 안테나(221)에 대해 유사하게 포지셔닝될 수 있다.
[0039] 안테나들(220) 각각은 안테나들(221) 각각에 대해 실질적으로 평행할 수 있다. 몇몇 실시예들에서, 안테나들(220) 중 적어도 하나는 안테나들(221) 중 적어도 하나와 실질적으로 평행하다. 안테나들(220) 각각은 안테나들(221) 각각과 수직으로(vertically) 정렬될 수 있다. 몇몇 실시예들에서, 안테나들(220) 중 적어도 하나는 안테나들(221) 중 적어도 하나와 수직으로 정렬된다.
[0040] 지지 구조(230) 및 지지 구조(231)는 일직선이다. 다른 실시예들에서, 지지 구조(230) 및 지지 구조(231)는 일직선이 아닐 수도 있다. 예를 들어, 지지 구조(230) 및 지지 구조(231)는 곡선이거나, 들쭉날쭉하거나(jagged), 또는 다른 프로파일들 또는 형상들을 가질 수 있다. 이러한 실시예들에서, 안테나들(220) 각각은 나머지 안테나들(220) 각각에 대해 여전히 실질적으로 평행할 수 있다. 이러한 실시예들에서, 안테나들(221) 각각은 나머지 안테나들(221) 각각에 대해 여전히 실질적으로 평행할 수 있다.
[0041] 안테나들(220) 각각은 단자 단부(223)를 갖는다. 안테나들(221) 각각은 단자 단부(225)를 갖는다. 거리(C)가 지지 구조(230)와 단자 단부(225) 사이에 정의된다. 거리(C')가 지지 구조(231)와 단자 단부(223) 사이에 정의된다. 거리들(C 및 C') 각각은 약 1 mm 내지 약 10 mm 일 수 있다. 다른 실시예들에서, 거리들(C 및 C')은 약 1 mm 미만 또는 약 10 mm 초과일 수 있다. 몇몇 실시예들에서, 거리(C) 및 거리(C')는 동일하다. 다른 실시예들에서, 거리(C) 및 거리(C')는 상이하다.
[0042] 거리(A)가, 안테나들(221) 중 하나의 안테나와 안테나들(221) 중 인접하는 안테나의 대면 표면들 사이에 정의된다. 거리(A')가, 안테나들(220) 중 하나의 안테나와 안테나들(220) 중 인접하는 안테나의 대면 표면들 사이에 정의된다. 거리들(A 및 A')은 약 6 mm 초과일 수 있다. 예를 들어, 거리들(A 및 A')은 약 6 mm 내지 약 20 mm, 이를테면 약 10 mm 내지 약 15 mm 일 수 있다. 각각의 인접하는 안테나들(221, 220) 사이의 거리들(A 및 A')은 동일하거나 상이할 수 있다. 예를 들어, 하나 또는 그 초과의 안테나들(220)의 제 1 및 제 2 안테나 사이, 제 2 및 제 3 안테나 사이, 및 제 3 및 제 4 안테나 사이의 거리들(A')은 상이할 수 있다. 다른 실시예들에서, 거리들(A')은 동일할 수 있다.
[0043] 거리(B)가, 안테나들(220) 중 하나의 안테나와 안테나들(221) 중 인접하는 안테나의 대면 표면들 사이에 정의된다. 거리(B)는, 예를 들어 약 1 mm 초과일 수 있다. 예를 들어, 거리(B)는 약 2 mm 내지 약 10 mm, 이를테면 약 4 mm 내지 약 6 mm 일 수 있다. 사이에 정의되는 거리(B)는 동일할 수 있거나, 각각의 거리(B)는 상이할 수 있거나, 또는 일부 거리들(B)은 동일할 수 있고 그리고 일부 거리들(B)은 상이할 수 있다. 거리(B)를 변경하게 되면, 전기장 세기의 용이한 제어를 가능하게 한다.
[0044] 안테나들(220, 221)은 포토레지스트 층(150) 위에 교번하는 배열(alternating arrangement)로 배향될(oriented) 수 있다. 예를 들어, 제 1 전극(158)의 안테나들(220) 및 제 2 전극(160)의 안테나들(221)은, 안테나들(220) 중의 적어도 하나가 안테나들(221) 중의 2개의 안테나들 사이에 포지셔닝되도록, 포지셔닝될 수 있다. 부가적으로, 적어도 하나의 안테나(221)는 안테나들(220) 중의 2개의 안테나들 사이에 포지셔닝될 수 있다. 몇몇 실시예들에서, 안테나들(220) 중 하나를 제외한 모든 안테나들이 안테나들(221) 중의 2개의 안테나들 사이에 포지셔닝된다. 이러한 실시예들에서, 안테나들(221) 중 하나를 제외한 모든 안테나들이 안테나들(220) 중의 2개의 안테나들 사이에 포지셔닝될 수 있다. 몇몇 실시예들에서, 안테나들(220) 및 안테나들(221) 각각은 단지 하나의 안테나 만을 가질 수 있다.
[0045] 몇몇 실시예들에서, 제 1 전극(158)은 제 1 단자(210)를 가지며, 제 2 전극(160)은 제 2 단자(211)를 갖는다. 제 1 단자(210)는, 제 1 전극(158)과 전력 공급부(170), 전력 공급부(175), 또는 접지 사이의 컨택(contact)일 수 있다. 제 2 단자(211)는, 제 2 전극(160)과 전력 공급부(170), 전력 공급부(175), 또는 접지 사이의 컨택일 수 있다. 제 1 단자(210) 및 제 2 단자(211)는, 각각, 제 1 전극(158) 및 제 2 전극(160)의 하나의 단부에 있는 것으로 도시되어 있다. 다른 실시예들에서, 제 1 단자(210) 및 제 2 단자(211)는, 각각, 제 1 전극(158) 및 제 2 전극의 다른 위치들에 포지셔닝될 수 있다. 제 1 단자(210) 및 제 2 단자(211)는, 각각, 지지 구조(230) 및 지지 구조(231)와 상이한 형상들 및 크기들을 갖는다. 다른 실시예들에서, 제 1 단자(210) 및 제 2 단자(211)는, 각각, 지지 구조(230) 및 지지 구조(231)와 대체로 동일한 형상들 및 크기들을 가질 수 있다.
[0046] 동작시, 전력 공급부, 이를테면 전력 공급부(170), 전력 공급부(174), 또는 전력 공급부(175)로부터 제 1 단자(210), 제 2 단자(211), 및/또는 기판 지지 어셈블리(138)에 전압이 공급될 수 있다. 공급되는 전압은, 하나 또는 그 초과의 안테나들(220) 중의 각각의 안테나와 하나 또는 그 초과의 안테나들(221) 중의 각각의 안테나 사이에 전기장을 생성한다. 전기장은, 하나 또는 그 초과의 안테나들(220) 중의 하나의 안테나와 하나 또는 그 초과의 안테나들(221) 중의 인접하는 안테나 사이에서 가장 강할 것이다. 안테나들(220, 221)의 인터리빙되고(interleaved) 정렬된(aligned) 공간적인 관계는, 기판 지지 어셈블리(138)의 제 1 표면(134)에 의해 정의되는 평면에 대해 평행한 방향으로 전기장을 생성한다. 기판(140)은 제 1 표면(134) 상에 포지셔닝되며, 그에 따라, 잠상 라인들(155)은 전극 어셈블리(116)에 의해 발생되는 전기장 라인들에 대해 평행하다. 대전된 종(255)은 대전되기 때문에, 이러한 대전된 종(255)은 전기장에 의해 영향을 받는다. 전기장은, 포토레지스트 층(150) 내의 광산 발생제들에 의해 발생되는 대전된 종(255)을 전기장의 방향으로 드라이빙시킨다. 대전된 종(255)을 잠상 라인들(155)과 평행한 방향으로 드라이빙시킴으로써, 라인 거칠기가 감소될 수 있다. 균일한 방향성 이동은 양두(double headed) 화살표(270)에 의해 도시된다. 대조적으로, 제 1 단자(210) 또는 제 2 단자(211)에 전압이 인가되지 않는 경우에는, 대전된 종(255)을 임의의 특정 방향으로 드라이빙시키기 위한 전기장이 생성되지 않는다. 결과적으로, 대전된 종(255)은 화살표들(270')에 의해 도시된 바와 같이, 무작위로 움직일 수 있다.
[0047] 도 3은 기판(140)을 프로세싱하는 대표적인 방법들의 흐름도이다. 기판(140)을 프로세싱하기 위한 방법은 다수의 스테이지들을 갖는다. 이러한 스테이지들은, (문맥상 그 가능성을 배제하는 경우를 제외하고) 동시에 또는 임의의 순서로 수행될 수 있으며, 그리고 방법은, (문맥상 그 가능성을 배제하는 경우를 제외하고) 정의된 스테이지들 중 임의의 스테이지 이전에, 정의된 스테이지들 중 2개의 스테이지들 사이에서, 또는 정의된 모든 스테이지들 이후에 수행되는 하나 또는 그 초과의 다른 스테이지들을 포함할 수 있다. 반드시 모든 실시예들이 모든 스테이지들을 포함하는 것은 아니다.
[0048] 일반적으로, 방법은 스테이지(310)를 포함한다. 스테이지(310)는 광산 발생제를 포함하는 포토레지스트를 기판(140)에 적용하는 것을 포함한다. 방법들은 스테이지(320)를 또한 포함할 수 있다. 스테이지(320)는 노광-전 베이크(pre-exposure bake)에서 기판(140)을 가열하는 것을 포함한다. 일반적으로, 방법들은 스테이지(330)를 또한 포함한다. 스테이지(330)는 기판(140)을 전자기 방사에 노출시키는 것을 포함한다. 방법들은 스테이지(340)를 더 포함할 수 있다. 스테이지(340)는 노광-후 베이크(post-exposure bake)에서 기판(140)을 가열하는 것을 포함한다. 방법들은 또한, 스테이지(350) 및 스테이지(360)를 포함할 수 있다. 스테이지(350) 및 스테이지(360)는, 각각, 기판(140)을 현상하는 것 및 기판(140)을 포스트-처리(post-treating)하는 것을 포함한다.
[0049] 일반적으로, 방법은 또한, 스테이지(301)를 포함한다. 스테이지(301)는, 가열하는 동안 광산 발생제에 의해 발생되는 대전된 종(255)을 잠상 라인들(155)의 방향에 대해 평행한 방향으로 드라이빙시키기 위해, 기판(140)에 전기장 및/또는 자기장을 인가하는 것을 포함한다. 스테이지(301)는 본원에서, 스테이지(340) 동안 일어나는 것으로서 설명된다. 하지만, 스테이지(301)는 다른 스테이지들 중 임의의 스테이지 또는 다른 스테이지들의 임의의 결합 동안 일어날 수 있다.
[0050] 스테이지(310)에서, 포토레지스트 층(150)을 형성하기 위해, 기판(140)에 포토레지스트가 적용된다. 포토레지스트 층(150)은, 예를 들어, 스핀 코팅 장치 내에서의 스핀 코팅에 의해 적용될 수 있다. 기판(140)이 스핀 코터의 회전가능한 척 내로 도입되고 그러한 척 상에 포지셔닝될 수 있다. 이후, 포토레지스트를 포함하는 용액(solution)이 기판(140)에 적용될 수 있으며, 그리고 기판(140)은 빠르게 스핀될 수 있으며, 결과적으로, 균일한 포토레지스트 층(150)을 야기한다.
[0051] 몇몇 실시예들에서, 스핀 코터는 프로세싱 시스템, 이를테면 프로세싱 시스템(400)의 일부(part)일 수 있다. 스핀 코터가 프로세싱 시스템(400)의 일부인 실시예에서, 스핀 코터는 코팅 챔버(420) 내에 있을 수 있다. 그러한 실시예에서, 기판(140)은 로드 포트(410)를 통해 프로세싱 시스템(400)에 들어간 다음, 이송 챔버(405)를 통해 코팅 챔버(420)로 이송될 수 있다.
[0052] 스핀 코터는, 하나 또는 그 초과의 전력 소스들에 커플링된 전극 어셈블리(116)를 포함할 수 있다. 예를 들어, 코팅 챔버(420)는, 하나 또는 그 초과의 전력 소스들에 커플링된 전극 어셈블리(116)를 포함할 수 있다. 기판(140)은, 전극 어셈블리(116)가 기판(140)의 측방향 치수(lateral dimension)들에 대해 평행한 전기장을 생성할 수 있도록, 전극 어셈블리(116)에 대해 포지셔닝될 수 있다. 몇몇 실시예들에서, 스핀 코터의 전극 어셈블리(116)는 또한, 액추에이터(190)에 커플링될 수 있다. 스핀 코터는 또한, 자석들(196)을 포함할 수 있다. 자석들(196)은, 전극 어셈블리(116)에 의해 발생되는 전기장 라인들의 방향에 대해 평행한 또는 수직인 방향으로 자기장을 발생시키도록 구성될 수 있다.
[0053] 포토레지스트는 용제(solvent), 포토레지스트 수지, 및 광산 발생제를 포함할 수 있다. 포토레지스트 수지는 임의의 포지티브 포토레지스트 수지 또는 임의의 네거티브 포토레지스트 수지일 수 있다. 대표적인 포토레지스트 수지들은 아크릴레이트(acrylate)들, 노볼락 수지(Novolac resin)들, 폴리(메틸메타크릴레이트들), 및 폴리(올레핀 술폰들)을 포함한다. 다른 포토레지스트 수지들이 또한 사용될 수 있다.
[0054] 전자기 방사에 노출되면, 광산 발생제는 대전된 종(255), 이를테면 산성(acid) 양이온 및 음이온을 발생시킨다. 광산 발생제는 또한, 분극화된 종(polarized species)을 발생시킬 수 있다. 광산 발생제는 수지를 전자기 방사에 대해 민감하게 한다. 대표적인 광산 발생제들은, 예를 들어, 술폰화된 염(sulfonated salt)들, 술폰화된 에스테르(sulfonated ester)들, 및 술포닉옥시 케톤(sulfonyloxy ketone)들과 같은, 술폰산염 화합물(sulfonate compound)들을 포함한다. 다른 적합한 광산 발생제들은 오늄 염(onium salt)들, 이를테면 아릴-디아조늄 염(aryl-diazonium salt)들, 할로늄 염(halonium salt)들, 방향족 술포늄 염(aromatic sulfonium salt)들 및 술폭소늄 염(sulfoxonium salt)들 또는 셀레늄 염(selenium salt)들을 포함한다. 다른 대표적인 광산 발생제들은 니트로벤질 에스테르(nitrobenzyl ester)들, s-트리아진 유도체(s-triazine derivative)들, 이온성 요오도늄 술폰산염(ionic iodonium sulfonate)들, 퍼플루오로알칸술폰산염(perfluoroalkanesulfonate)들, 아릴 트리플레이트(aryl triflate)들 및 그 유도체들 및 유사체(analog)들, 피로갈롤(pyrogallol) 유도체들, 및 알킬 디술폰(alkyl disulfone)들을 포함한다. 다른 광산 발생제들이 또한 사용될 수 있다.
[0055] 선택적인 스테이지(320)에서, 기판(140)이 노광-전 베이크에서 가열된다. 노광-전 베이크 동안, 기판은 포토레지스트 용제들을 부분적으로 증발(evaporate)시키기 위해 가열된다. 스테이지(320)의 노광-전 베이크 및 스테이지(310)의 포토레지스트 적용은 동일한 챔버에서 일어날 수 있다. 예를 들어, 양 스테이지들은 스핀 코터에서 일어날 수 있다. 일 실시예에서, 양 스테이지들은 코팅 챔버(420)에서 일어날 수 있다. 대안적으로, 기판(140)은 상이한 프로세싱 챔버로 이송될 수 있다. 예를 들어, 프로세싱 시스템(400)을 사용하는 실시예에서, 기판(140)은 코팅 챔버(420)로부터 이송 챔버(405)를 통해 프로세싱 챔버(100)로 이송될 수 있다.
[0056] 상기 주목한 바와 같이, 프로세싱 챔버(100)는 전극 어셈블리(116)를 포함한다. 기판(140)은 프로세싱 챔버(100) 내에서, 전극 어셈블리(116)가 기판(140)의 측방향 치수들에 대해 평행한 전기장을 생성할 수 있도록, 전극 어셈블리(116)에 대해 포지셔닝될 수 있다. 몇몇 실시예들에서, 프로세싱 챔버(100)의 전극 어셈블리(116)는 또한, 액추에이터(190)에 커플링될 수 있다. 프로세싱 챔버(100)는 또한, 자석들(196)을 포함할 수 있다. 자석들(196)은, 전극 어셈블리(116)에 의해 발생되는 전기장 라인들의 방향에 대해 평행한 또는 수직인 방향으로 자기장을 발생시키도록 구성될 수 있다.
[0057] 스테이지(330)에서, 기판(140), 및 포토레지스트 층(150)의 일부분들이 전자기 방사에 노출된다. 스테이지(330)는 노광 챔버에서 수행될 수 있다. 일 실시예에서, 스테이지(320)의 완료 후, 기판(140)은 노광 챔버로 이송된다. 프로세싱 시스템(400)을 사용하는 실시예에서, 기판(140)은 프로세싱 챔버(100)로부터 이송 챔버(405)를 통해 노광 챔버(430)로 이송될 수 있다. 노광 챔버는 또한, 자석들(196), 액추에이터(190) 및/또는 하나 또는 그 초과의 전력 소스들에 커플링된 전극 어셈블리(116)를 포함할 수 있다. 기판(140)은, 코팅 챔버(420)와 관련하여 설명된 바와 같이, 노광 챔버 내에서 전극 어셈블리(116) 및 자석들(196)에 대해 포지셔닝될 수 있다.
[0058] 스테이지(330)에서, 포토레지스트 층(150)의 부분들은 선택적으로 노광되고, 포토레지스트 층(150)의 부분들은 선택적으로 노광되지 않는다. 전자기 방사에 노출되는, 포토레지스트 층(150)의 부분들은, 전자기 방사에 노출되지 않는, 포토레지스트 층(150)의 부분들과 상이한 화학 특성들을 가질 수 있다. 광산 발생제에 의해 발생되는 산(acid)은, 레지스트 수지 내에 산 잠상을 초래한다. 몇몇 실시예들에서, 포토마스크 또는 레티클이 포토레지스트 층(150) 사이에 포지셔닝될 수 있으며, 그리고 포토레지스트 층(150)은 그러한 마스크 또는 레티클을 통해 전자기 방사에 노출될 수 있다. 마스크 또는 레티클은 라인들을 포함하는 패턴을 포토레지스트 층(150)에 전사시키도록 구성될 수 있다. 다른 실시예들에서, 라인들을 포함하는 패턴은, 마스크리스 리소그래피 기법들을 사용하여 포토레지스트 층(150)에 전사될 수 있다. 전사되는 잠상 라인들(155)은 임의의 요구되는 길이, 폭, 및 잠상 라인들(155) 간의 간격을 가질 수 있다. 예를 들어, 몇몇 실시예들에서, 라인 폭들 및 라인 간격들은 약 10 nm 내지 약 16 nm 일 수 있다. 다른 실시예들에서, 라인 폭들 및 간격들은 약 10 nm 미만 또는 약 16 nm 초과일 수 있다. 몇몇 실시예들에서, 잠상 라인(155)의 길이는 잠상 라인(155)의 폭의 약 150% 이다. 다른 실시예들에서, 잠상 라인(155)의 길이는 잠상 라인(155)의 폭의 약 200% 초과, 예를 들어, 예를 들어, 잠상 라인(155)의 폭의 약 1000% 초과이다.
[0059] 전자기 방사는 일반적으로, 포토레지스트 층(150)을 노광시키기에 적합한 파장을 갖는다. 예를 들어, 전자기 방사는 EUV(extreme ultra violet) 범위에서의 파장, 이를테면 약 10 nm 내지 약 124 nm를 가질 수 있다. 다른 실시예들에서, 전자기 방사는 아르곤 플루오라이드 레이저(argon fluoride laser)에 의해 발생될 수 있다. 그러한 실시예에서, 전자기 방사는 약 193 nm의 파장을 가질 수 있다. 몇몇 실시예들에서, 파장은 248 nm 일 수 있다. 다른 실시예들은 상이한 파장들을 사용할 수 있다. 몇몇 실시예들에서, 전자기 방사는 전자 빔 또는 이온 빔으로부터 비롯된다.
[0060] 스테이지(340)에서, 기판(140)은 노광-후 베이크 프로세스에서 가열된다. 일 실시예에서, 스테이지(330)의 완료 후, 기판(140)은 프로세싱 챔버로 이송된다. 몇몇 실시예들에서, 프로세싱 챔버는 프로세싱 챔버(100)일 수 있다. 프로세싱 시스템(400)을 사용하는 실시예들에서, 기판(140)은 노광 챔버(430)로부터 이송 챔버(415)를 통해 프로세싱 챔버(100)로 이송될 수 있다. 기판(140)은 기판 지지 어셈블리(138)의 제 1 표면(134) 상에 포지셔닝될 수 있다. 전력 소스(174)는 기판(140)을 가열하기 위해 매립형 가열기(132)에 전력을 제공할 수 있다. 매립형 가열기(132)는 기판(140) 및 포토레지스트 층(150)을 빠르게 가열할 수 있다. 예를 들어, 매립형 가열기(132)는, 약 2초 미만 동안, 포토레지스트 층(150)의 온도를 주위 온도로부터 약 70℃ 내지 약 160℃, 이를테면 약 90℃ 내지 140℃로 올릴 수 있다. 스테이지(340) 동안, 기판(140) 및 포토레지스트 층(150)의 온도는 약 70℃ 내지 약 160℃, 이를테면 약 90℃ 내지 140℃로 유지될 수 있다. 다른 실시예들에서, 기판(140)은 저항성 가열기, 가열 램프(heat lamp), 레이저, 또는 다른 열 소스에 의해 가열될 수 있다. 또 다른 실시예들에서, 기판(140)은, 매립형 가열기(132), 저항성 가열기, 가열 램프, 레이저, 및 다른 열 소스들 중 하나 초과에 의해 가열될 수 있다. 몇몇 실시예들에서, 전력 공급부(170) 및 전력 전력 공급부(175) 또한, 기판(140)을 가열할 수 있다.
[0061] 가열 램프, 레이저, 또는 다른 열 소스가 또한, 안테나들(220) 및 안테나들(221)을 가열할 수 있다. 예를 들어, 가열 램프, 레이저, 또는 다른 열 소스는, 스테이지(340) 동안, 안테나들(220) 및 안테나들(221)을 약 70℃ 내지 약 160℃, 이를테면 약 90℃ 내지 140℃로 가열할 수 있다. 몇몇 실시예들에서, 안테나들(220) 및 안테나들(221)의 온도들은 기판 지지 어셈블리(138)의 온도와 실질적으로 매칭(match)하도록 제어된다. 기판 지지 어셈블리(138)의 온도를 안테나들(220) 및 안테나들(221)의 온도와 매칭시키게 되면, 스테이지(340) 동안 포토레지스트 층(150)의 온도의 보다 균일한 제어를 가능하게 한다. 노광-후 베이크 동안, 포토레지스트 층(150) 내의 광산 발생제들은 포토레지스트 층(150)의 노출된 부분들의 화학 특성들을 계속해서 변화시킬 수 있다.
[0062] 선택적으로, 스테이지(340) 동안, 프로세싱 챔버(100)의 압력이 진공 소스에 의해 감소될 수 있다. 프로세싱 볼륨(112)은, 예를 들어, 펌핑 포트(114)를 통해 프로세싱 볼륨(112)에 커플링되는 진공 펌프에 의해 감소될 수 있다. 몇몇 실시예들에서, 프로세싱 챔버(100)의 압력은 적어도 10-5 Torr 로 감소될 수 있다. 예를 들어, 압력은 약 10-6 Torr 내지 약 10-8 Torr 로 감소될 수 있다. 압력을 감소시키게 되면, 프로세싱 동안 아킹을 감소시키거나 없앨 수 있다. 몇몇 실시예들에서, 프로세싱 챔버(100)의 압력은 감소되지 않는다. 압력을 감소시키지 않음으로써, 열 소스들로부터의 열이 기판(140)에 더 쉽게 전달될 수 있다. 몇몇 실시예들에서, 프로세싱 볼륨(112) 내의 압력은, 주위 압력 내지 10-5 Torr의 압력으로 감소된다.
[0063] 스테이지(340) 동안, 광산 발생제에 의해 생성되는 대전된 종(255)을 잠상 라인들(155)의 방향으로 드라이빙시키기 위해, 포토레지스트 층(150)에 필드(field)가 인가된다. 필드는 전기장, 또는 전기장 및 자기장 양자 모두일 수 있다. 전기장은, 예를 들어, 전극 어셈블리(116)에 의해, 포토레지스트 층(150)에 인가될 수 있다. 몇몇 실시예들에서, 전극 어셈블리(116)가 전기장을 발생시키는 동안, 전극 어셈블리(116) 및 포토레지스트 층(150)은 서로에 대해 정지된 채로 유지된다. 다른 실시예들에서, 전극 어셈블리(116)가 전기장을 발생시키는 동안, 전극 어셈블리(116) 및 포토레지스트 층(150)은 서로에 대해 이동한다. 상대 운동은, 예를 들어, 전기장이 기판(140)의 표면을 스캐닝하도록 허용할 수 있다. 하기에서 논의되는 바와 같이, 전기장으로 기판(140)의 표면을 스캐닝하게 되면, 기판(140)에 대한 전기장의 인가의 보다 정밀한 제어 및 더 높은 처리량을 가능하게 할 수 있다. 스캐닝은 또한, 기판(140)에 대한 보다 균일한 전기장의 인가를 가능하게 하며, 이는 보다 균일한 라인 에지 거칠기 감소를 가능하게 한다.
[0064] 몇몇 실시예들에서, 기판(140)과 전극 어셈블리(116) 간의 거리(d)는 조정될 수 있다. 예를 들어, 도 1에 도시된 실시예에서, 거리(d)는, 액추에이터(190)에 의해, 또는 기판 지지 어셈블리(138)에 커플링되는 리프트 시스템에 의해 조정될 수 있다. 액추에이터(190)는 전극 어셈블리(116)를 기판(140) 쪽으로 이동시킬 수 있다. 부가적으로 또는 대안적으로, 기판 지지 어셈블리(138)에 커플링되는 리프트 시스템은 기판(140)을 전극 어셈블리(116) 쪽으로 이동시킬 수 있다. 몇몇 실시예들에서, 거리(d)는 약 0.1 mm 내지 약 100 mm 이다. 예를 들어, 거리(d)는 약 8 mm 내지 약 14 mm 일 수 있다. 다른 실시예들에서, 거리(d)는 약 0.1 mm 미만 또는 약 100 mm 초과이다. 거리(d)를 조정하게 되면, 대전된 종(255)에 인가되는 전기장의 세기가 조정되도록 허용한다. 더 작은 거리(d)는, 대전된 종(255)에 더 강한 전기장을 인가한다.
[0065] 전극 어셈블리(116) 및 포토레지스트 층(150)이 서로에 대해 정지된 채로 유지되는 실시예들에서, 전극 어셈블리(116)는, 포토레지스트 층(150)의 측방향 치수들보다 더 크거나 또는 포토레지스트 층(150)의 측방향 치수들과 실질적으로 동일한 측방향 치수들을 가질 수 있다. 다른 실시예들에서, 전극 어셈블리(116)의 측방향 치수들은 포토레지스트 층(150)의 측방향 치수들보다 더 작을 수 있다. 전극 어셈블리(116)의 측방향 치수들이 포토레지스트 층(150)의 측방향 치수들보다 더 작은 일 실시예에서, 전극 어셈블리(116)는 포토레지스트 층(150)의 특정 영역 위에 포지셔닝될 수 있다. 예를 들어, 포토레지스트 층(150)의 특정 영역은, 스테이지(330) 동안 잠상 라인들(155)의 적어도 일부가 전사되는 곳일 수 있다.
[0066] 전극 어셈블리(116)는 잠상 라인들(155)의 길이를 따르는 방향으로 전기장을 발생시킬 수 있다. 다시 말해, 전극 어셈블리(116)은 잠상 라인들(155)에 대해 평행한 전기장을 발생시킬 수 있다. 발생되는 전기장은, 예를 들어, 약 0.1 MV/m 내지 약 100 MV/m, 이를테면 약 0.5 MV/m 내지 약 10 MV/m의 전기장 세기(field strength)를 가질 수 있다. 전기장은, 예를 들어, 전력 공급부(170), 전력 공급부(175), 및/또는 전력 공급부(174)에 의해 발생될 수 있다. 하나 또는 그 초과의 전력 공급부들에 의해 제공되는 전력은 도 1과 관련하여 상기 논의된 특성들을 가질 수 있다. 상기의 전력 조건들은, 대전된 종(255)이 잠상 라인들(155)의 방향으로 대략 약 10 nm 내지 약 50 nm 확산할 수 있게 하면서, 잠상 라인들(155)에 대해 수직하는 확산은 약 5 nm 미만으로 제한할 수 있다. 몇몇 실시예들에서, 잠상 라인들(155)의 방향으로의 확산은 약 10 nm 미만 또는 약 50 nm 초과일 수 있는 한편, 수직 확산은 약 5 nm 이다. 몇몇 실시예들에서, 펄스형 DC 전력 조건들은, 대전된 종(255)이 극성을 스위칭하기 전에 약 10 nm 내지 약 50 nm 이동할 수 있을 정도로, 대전된 종(255)에 대해 전기장이 충분히 길게(long) 작용할 수 있게 한다. 다른 실시예들에서, 대전된 종은, 극성을 스위칭하기 전에, 약 10 nm 미만 또는 약 50 nm 초과 이동할 수 있다. 몇몇 실시예들에서, 전력 조건들은, 약 5:1 초과의, 잠상 라인들(155)의 방향을 실질적으로 따르는 대전된 종(255)의 확산 대 잠상 라인들(155)의 방향에 대해 실질적으로 수직인 방향으로의 대전된 종(255)의 확산의 비율을 제공한다. 예를 들어, 비율은 약 10:1 초과, 이를테면 약 20:1 초과일 수 있다. 몇몇 실시예들에서, 비율은 약 5:1 내지 약 20:1 이다. 다른 실시예들에서, 비율은 약 10:1 내지 약 20:1 이다.
[0067] 전력 공급부(170), 전력 공급부(174), 및/또는 전력 공급부(175)는 소정의 시간 기간 동안 제 1 단자(210) 및/또는 제 2 단자(211)에 전압을 계속해서 인가할 수 있다. 예를 들어, 전력 공급부는, 약 30 초 내지 약 180 초, 이를테면 약 45 초 내지 약 90 초, 이를테면 약 60 초 동안 전압을 계속해서 공급할 수 있다. 다른 실시예들에서, 전압은 30 초 미만 또는 180 초 초과 동안 인가될 수 있다. 전압이 인가되는 시간의 길이는, 포토레지스트 층(150) 치수들, 전극 어셈블리(116), 포토레지스트 층(150) 내에 포함되는 광산 발생제의 양, 전기장 세기, 및 다른 변수들에 의존할 수 있다. 제 1 단자(210), 제 2 단자(211), 또는 기판 지지 어셈블리(138)에 전력이 공급되지 않는 경우, 각각의 컴포넌트는 접지에 연결될 수 있다.
[0068] 포토레지스트 층(150)에 인가되는 전기장의 세기는 몇 개의 변수들을 변화시킴으로써 변경될 수 있다. 예를 들어, 전기장 세기는, 제 1 단자(210) 및/또는 제 2 단자(211)에 인가되는 전압의 양에 의해 변경될 수 있다. 몇몇 실시예들에서, 전기장 세기는 또한, 전극 어셈블리(116)와 포토레지스트 층(150) 사이의 거리(d)를 변화시킴으로써 제어될 수 있다. 전기장 세기는 또한, 전극 어셈블리(116)의 치수들에 의해 변경될 수 있다. 예를 들어, 치수들(A, B, 및 C)은 포토레지스트 층(150)에서의 전기장의 세기에 영향을 미칠 수 있다.
[0069] 전극 어셈블리(116) 및 포토레지스트 층(150)이 서로에 대해 이동하는 실시예들에서, 전극 어셈블리(116) 및 포토레지스트 층(150)은, 동일하거나, 실질적으로 유사하거나, 또는 상이한 측방향 치수들을 가질 수 있다. 일 실시예에서, 측방향 치수들 중 하나, 이를테면 x-치수(dimension)는, 포토레지스트 층(150) 및/또는 기판(140)의 x-치수와 적어도 실질적으로 동일한 크기이다. 그러한 실시예에서, 전극 어셈블리(116)는, 다른 측방향 치수, 이를테면 y-치수에 있어서, 포토레지스트 층 및/또는 기판(140)의 y-치수보다 더 작은 크기를 가질 수 있다. 그러한 실시예에서, 전극 어셈블리(116)와 포토레지스트 층(150) 간의 상대적 이동은, 전극 어셈블리(116)의 x-치수가 포토레지스트 층(150)의 y-치수를 따라서 상대적으로(relatively) 이동하도록 이루어질 수 있다. 상대 운동은, 전극 어셈블리(116)로 하여금 포토레지스트 층(150)의 표면의 일부 또는 전체 위를 스캐닝하도록 초래할 수 있다. 스캐닝은, 포토레지스트 층(150)에 대한 전기장의 인가의 보다 정밀한 제어 및 더 높은 처리량을 가능하게 할 수 있다. 예를 들어, 몇몇 실시예들에서, 포토레지스트 층(150)에 전사되는 패턴은 제 1 위치에서의 잠상 라인들(155)의 제 1 세트 및 제 2 위치에서의 잠상 라인들(155)의 제 2 세트를 가질 수 있다. 잠상 라인들(155)의 제 1 세트 및 잠상 라인들(155)의 제 2 세트는 상이한 방향들로 배향될 수 있다. 스캐닝은, 전기장이 잠상 라인들(155)의 제 1 세트에 대해 평행하도록, 전극 어셈블리(116)에 의해 잠상 라인들(155)의 제 1 세트에 전기장이 인가되도록 할 수 있다. 이후, 액추에이터(190)는 전극 어셈블리(116)를 제 2 위치로 이동시키고, 전극 어셈블리에 의해 발생되는 전기장이 잠상 라인들(155)의 제 2 세트에 대해 평행하도록 전극 어셈블리(116)를 배향시킬 수 있다.
[0070] 전극 어셈블리(116)가 포토레지스트 층(150)보다 더 작은 다른 실시예에서, 전극 어셈블리(116)의 양쪽의 측방향 치수들은 포토레지스트 층(150)의 측방향 치수들보다 더 작다. 이러한 실시예들에서, 전극 어셈블리(116)는 포토레지스트 층(150)의 표면의 일부 또는 전체를 스캐닝할 수 있다. 전극 어셈블리(116)의 양쪽의 측방향 치수들이 포토레지스트 층(150)의 측방향 치수들보다 더 작은 몇몇 실시예들에서, 전극 어셈블리(116)는 기판(140) 상의 다이(die)의 측방향 치수들과 실질적으로 유사하도록 크기설정되는(sized) 측방향 치수들을 가질 수 있다. 이러한 실시예들에서, 전극 어셈블리(116)는 기판(140) 상의 다이의 단지 일부에만 또는 각각의 다이에 전기장을 순차적으로 인가할 수 있다.
[0071] 일 실시예에서, 액추에이터(190)는 포토레지스트 층(150)과 전극 어셈블리(116) 간에 상대 운동을 제공할 수 있다. 다른 실시예들에서, 기판 지지 어셈블리(138)는 포토레지스트 층(150)과 전극 어셈블리(116) 간에 상대 운동을 제공하도록 구성될 수 있다. 또 다른 실시예들에서, 액추에이터(190) 및 이동가능한 기판 지지 어셈블리(138) 양자 모두는 상대 운동을 실시(effectuate)할 수 있다. 다른 실시예들에서, 기판(140)은 컨베이어 시스템 상에 포지셔닝될 수 있으며, 컨베이어 시스템은 기판(140)을 하나 또는 그 초과의 전극 어셈블리들(116)에 대해 이동시킬 수 있다. 전극 어셈블리(116)와 포토레지스트 층(150) 간의 상대 운동은 약 1 mm/sec 내지 약 1000 mm/sec, 이를테면 약 10 mm/sec 내지 약 100 mm/sec, 이를테면 약 500 mm/sec의 속도를 가질 수 있다. 라인 에지/폭 거칠기를 요구되는 레벨로 감소시키기에 충분히 긴 시간 동안 포토레지스트 층(150)이 전기장에 노출되는 것을 보장하도록, 상대 운동의 속도가 조정될 수 있다. 이러한 속도는, 광산 발생제의 농도 및 아이덴티티(identity), 및 포토레지스트 수지의 조성(composition)을 포함하는 몇 개의 변수들에 의존할 수 있다.
[0072] 전극 어셈블리(116)와 포토레지스트 층(150) 간의 상대 운동을 포함하는 몇몇 실시예들에서, 거리(d)(z-방향)는 시간의 경과에 따라 달라진다. 스캐닝 동안 d가 달라지게 되면, 전기장 세기를 변화시키며, 그에 따라, 포토레지스트 층(150)의 프로파일을 조절한다. 본원에서 개시되는 상대 운동을 포함하는 다른 실시예들에서, 기판 지지 어셈블리(138)는 전극 어셈블리(116)에 대해 회전한다. 예를 들어, 기판 지지 어셈블리(138)는 z-축을 중심으로 회전할 수 있다. 기판 지지 어셈블리(138)는 연속적으로 또는 끊임없이 회전하도록 구성될 수 있거나, 또는 기판 지지 어셈블리(138)는 단차적 방식(step manner)으로 회전하도록 구성될 수 있다. 예를 들어, 기판 지지 어셈블리(138)는 미리 결정된 양, 이를테면 90o, 180o, 또는 270o 회전할 수 있으며, 그런 다음, 회전은 미리 결정된 시간량 동안 중단될 수 있다. 다른 실시예들에서, 전극 어셈블리(116)는 기판 지지 어셈블리(138)에 대해 회전한다. 전극 어셈블리(116)는 기판 지지 어셈블리(138)와 동일한 방식으로 회전할 수 있다.
[0073] 상대 운동을 포함하는 실시예들에서, 전기장은 또한, 전력 공급부(170), 전력 공급부(174), 및 전력 공급부(175) 중 임의의 것 또는 전부에 의해 발생될 수 있다. 상대 운동을 포함하는 실시예들에서의, 인가되는 전압 및 전력의 특성들은, 상대적 이동을 수반하지 않는 실시예들에서와 동일할 수 있다. 스캐닝(scanning) 실시예들에서, 전력은, 약 30 초 내지 약 360 초, 이를테면 약 45 초 내지 약 180 초, 이를테면 약 90 초 동안 인가될 수 있다. 전압이 인가되는 시간의 길이는 포토레지스트 층(150) 치수들, 전극 어셈블리(116), 포토레지스트 내에 포함되는 광산 발생제의 양, 전기장 세기, 상대 운동의 레이트, 및 다른 변수들에 의존할 수 있다. 기판(140)과 전극 어셈블리(116) 간의 상대 운동을 포함하는 실시예들에서, 상대 운동은, 전기장이 인가되는 동안에만, 또는 전기장이 인가되지 않는 동안에만, 또는 전기장이 인가되는 동안 및 전기장이 인가되지 않는 동안의 양자 모두 동안에 일어날 수 있다. 예를 들어, 기판 지지 어셈블리(138)의 회전에 의해 상대 운동이 야기되는 실시예들에서, 전기장은 회전 동안 인가될 수 있거나, 전기장은 회전 동안 인가되지 않을 수도 있거나, 또는 전기장은 기판 지지 어셈블리(138)가 회전하는 동안 및 회전하지 않는 동안의 양자 모두 동안에 인가될 수 있다.
[0074] 몇몇 실시예들에서, 대전된 종(255)을 잠상 라인들(155)의 방향으로 드라이빙시키기 위해, 자기장이 발생된다. 자석들, 이를테면 자석들(196)은, 잠상 라인들(155)에 대해 평행한 또는 수직인 방향으로 자기장을 인가할 수 있으며, 결과적으로, 감소된 라인 에지/폭 거칠기를 갖는 라인들을 초래한다. 자석들(196)은, 예를 들어, 영구 자석들 또는 전자석들일 수 있다. 다른 실시예들에서, 자석들(196)은 프로세싱 챔버(100) 바깥쪽에 또는 프로세싱 챔버(100) 내의 다른 위치들에 포지셔닝될 수 있다. 포토레지스트 층(150)에서의 자기장 세기는 약 0.1 테슬라(T) 내지 약 10 T, 이를테면 약 1 T 내지 약 5 T 일 수 있다. 자기장을 포함하는 실시예들에서, 자석들(196)은 포토레지스트 층(150) 및 기판(140)에 대해 이동하거나 또는 정지된 채로 유지될 수 있다.
[0075] 몇몇 실시예들에서, 전극 어셈블리(116)는 전기장을 발생시키고, 자석들(196)은 자기장을 발생시킨다. 전기장은 잠상 라인들(155)의 방향으로 발생될 수 있고, 자기장은 잠상 라인들(155)에 대해 수직인 방향으로 발생될 수 있다. 자기장 및 전기장을 포함하는 실시예에서, 자기장은 전기장에 대해 수직일 수 있다.
[0076] 선택적인 스테이지(350)에서, 포토레지스트가 현상된다. 일 실시예에서, 스테이지(340)의 완료 후, 기판(140)은 현상 챔버로 이송된다. 프로세싱 시스템(400)을 사용하는 실시예들에서, 기판(140)은 프로세싱 챔버(100)로부터 이송 챔버(405)를 통해 현상 챔버(440)로 이송될 수 있다. 현상 챔버(440)는 또한, 자석들(196) 및 액추에이터(190) 및/또는 하나 또는 그 초과의 전력 소스들에 커플링된 전극 어셈블리(116)를 포함할 수 있다. 기판(140)은, 코팅 챔버(420)와 관련하여 설명된 바와 같이, 현상 챔버(440) 내에서 자석들(196) 및 전극 어셈블리(116)에 대해 포지셔닝될 수 있다.
[0077] 스테이지(350)에서, 포토레지스트 층(150)은, 예를 들어, 포토레지스트 층(150)을 현상액(developer), 이를테면 수산화나트륨 용액, 수산화 테트라메틸암모늄(tetramethylammonium hydroxide) 용액, 크실렌, 또는 스토다드 용제(Stoddard solvent)에 노출시킴으로써 현상될 수 있다. 기판(140)은, 예를 들어, 물 또는 n-부틸아세테이트에 의해 린싱될 수 있다. 스테이지(350)에 의해, 잠상 라인들(155)은 더 이상 잠복성이지(latent) 않을 수 있다. 기판(140) 상의 라인들(155)은, 통상의 기법들과 비교하여, 더 적은 라인 에지/폭 거칠기를 가질 것이다.
[0078] 선택적인 스테이지(360)에서, 부가적인 포스트-프로세싱 단계들이 수행될 수 있다. 부가적인 포스트-프로세싱 단계들은, 예를 들어, 포스트-프로세싱 챔버에서 수행될 수 있다. 프로세싱 시스템(400)을 사용하는 실시예에서, 기판(140)은 포스트-프로세싱을 위해, 현상 챔버(440)로부터 이송 챔버(405)를 통해 포스트-프로세싱 챔버(450)로 이송될 수 있다. 예를 들어, 린싱 이후, 기판(140)은 하드 베이킹되고(hard baked) 검사될 수 있다. 검사 이후, 기판(140)에 대해 에칭 프로세스가 수행될 수 있다. 에칭 프로세스는, 층(145)에 패턴을 전사시키기 위해, 포토레지스트 층(150)의 피처들, 이를테면 라인들(155)을 사용한다.
[0079] 이전에 설명된 실시예들은 다음을 포함하는 많은 장점들을 갖는다. 예를 들어, 본원에서 개시되는 실시예들은 라인 에지/폭 거칠기를 감소시키거나 없앨 수 있다. 부가적으로, 본원에서 개시되는 실시예들은 새로운 제조 프로세스 흐름들을 가능하게 할 수 있다. 상기 언급된 장점들은 예시적인 것이며 제한적인 것이 아니다. 반드시 모든 실시예들이 모든 장점들을 가질 필요는 없다.
[0080] 전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (23)

  1. 기판을 프로세싱하기 위한 장치로서,
    기판 지지부 ― 상기 기판 지지부는 기판을 상부에 지지하도록 구성되는 표면을 포함함 ― ;
    상기 기판 지지부의 표면 상에 포지셔닝된(positioned) 기판을 가열하도록 구성되는 열 소스;
    상기 기판 지지부의 표면 맞은편(opposite)에 포지셔닝되며, 제 1 전극 및 제 2 전극을 포함하는 전극 어셈블리; 및
    자기장 소스를 포함하며,
    상기 제 1 전극은 하나 또는 그 초과의 안테나들을 포함하고;
    상기 제 2 전극은 하나 또는 그 초과의 안테나들을 포함하고;
    상기 제 1 전극의 적어도 하나의 안테나 및 상기 제 2 전극의 적어도 하나의 안테나는 인터리빙되며(interleaved);
    상기 전극 어셈블리는 상기 기판 지지부의 표면에 대해 실질적으로 평행한 방향으로 전기장을 발생시키도록 구성되며; 그리고
    상기 자기장 소스는, 상기 전기장에 대해 실질적으로 수직이며 그리고 상기 기판 지지부의 표면에 대해 평행한 방향으로 자기장을 발생시키도록 구성되는,
    기판을 프로세싱하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 제 1 전극의 하나 또는 그 초과의 안테나들은 제 1 지지 구조로부터 돌출(project)하고, 상기 제 1 전극의 각각의 안테나는 상기 제 1 전극의 각각의 다른 안테나에 대해 실질적으로 평행하며;
    상기 제 2 전극의 하나 또는 그 초과의 안테나들은 제 2 지지 구조로부터 돌출하고, 상기 제 2 전극의 각각의 안테나는 상기 제 2 전극의 각각의 다른 안테나에 대해 실질적으로 평행하며; 그리고
    상기 제 1 전극의 각각의 안테나는 상기 제 2 전극의 각각의 안테나에 대해 실질적으로 평행한,
    기판을 프로세싱하기 위한 장치.
  3. 제 2 항에 있어서,
    상기 제 1 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일하고, 상기 제 2 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일하며, 그리고 상기 제 1 전극의 각각의 인접하는 안테나와 상기 제 2 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일한,
    기판을 프로세싱하기 위한 장치.
  4. 제 3 항에 있어서,
    상기 제 1 전극 및 상기 제 2 전극 각각은 4개 내지 40개의 안테나들을 갖는,
    기판을 프로세싱하기 위한 장치.
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 제 4 항에 있어서,
    상기 장치는 상기 기판 지지부 상에 포지셔닝된 기판과 상기 전극 어셈블리 간에 상대 운동(relative motion)을 제공하도록 구성되는,
    기판을 프로세싱하기 위한 장치.
  17. 제 4 항에 있어서,
    상기 기판 지지부 및 전극 어셈블리는 진공 챔버 내에 포지셔닝되는,
    기판을 프로세싱하기 위한 장치.
  18. 제 1 항에 있어서,
    상기 자기장 소스는 상기 기판 지지부의 표면 위에 0.1 T 내지 10 T의 자기장 세기를 제공하는,
    기판을 프로세싱하기 위한 장치.
  19. 제 1 항에 있어서,
    상기 제 1 전극의 하나 또는 그 초과의 안테나들은 제 1 지지 구조로부터 돌출하고, 상기 제 1 전극의 각각의 안테나는 상기 제 1 전극의 각각의 다른 안테나에 대해 실질적으로 평행하고;
    상기 제 2 전극의 하나 또는 그 초과의 안테나들은 제 2 지지 구조로부터 돌출하고, 상기 제 2 전극의 각각의 안테나는 상기 제 2 전극의 각각의 다른 안테나에 대해 실질적으로 평행하며;
    상기 제 1 전극의 각각의 안테나는 상기 제 2 전극의 각각의 안테나에 대해 실질적으로 평행하며;
    상기 제 1 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일하고, 상기 제 2 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일하고, 그리고 상기 제 1 전극의 각각의 인접하는 안테나와 상기 제 2 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일하며;
    상기 제 1 전극 및 상기 제 2 전극 각각은 4개 내지 40개의 안테나들을 가지며; 그리고
    상기 장치는 상기 기판 지지부 상에 포지셔닝된 기판과 상기 전극 어셈블리 간에 상대 운동을 제공하도록 구성되는,
    기판을 프로세싱하기 위한 장치.
  20. 기판을 프로세싱하기 위한 장치로서,
    기판 지지부 ― 상기 기판 지지부는 기판을 상부에 지지하도록 구성되는 표면을 포함함 ― ;
    상기 기판 지지부의 표면 상에 포지셔닝된 기판을 가열하도록 구성되는 열 소스;
    상기 기판 지지부의 표면 맞은편에 포지셔닝되며, 제 1 전극 및 제 2 전극을 포함하는 전극 어셈블리; 및
    자기장 소스를 포함하며,
    상기 제 1 전극은 4개 내지 40개의 안테나들을 포함하고, 상기 제 1 전극의 하나 또는 그 초과의 안테나들은 제 1 지지 구조로부터 돌출하고, 상기 제 1 전극의 각각의 안테나는 상기 제 1 전극의 각각의 다른 안테나에 대해 실질적으로 평행하며;
    상기 제 2 전극은 4개 내지 40개의 안테나들을 포함하고, 상기 제 2 전극의 하나 또는 그 초과의 안테나들은 제 2 지지 구조로부터 돌출하고, 상기 제 2 전극의 각각의 안테나는 상기 제 2 전극의 각각의 다른 안테나에 대해 실질적으로 평행하고, 상기 제 1 전극의 각각의 안테나는 상기 제 2 전극의 각각의 안테나에 대해 실질적으로 평행하며;
    상기 제 1 전극의 적어도 하나의 안테나 및 상기 제 2 전극의 적어도 하나의 안테나는 인터리빙되고;
    상기 전극 어셈블리는 상기 기판 지지부의 표면에 대해 실질적으로 평행한 방향으로 전기장을 발생시키도록 구성되며;
    상기 제 1 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일하고, 상기 제 2 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일하며, 그리고 상기 제 1 전극의 각각의 인접하는 안테나와 상기 제 2 전극의 각각의 인접하는 안테나 사이의 거리는 실질적으로 동일하며; 그리고
    상기 자기장 소스는, 상기 전기장에 대해 실질적으로 수직이며 그리고 상기 기판 지지부의 표면에 대해 평행한 방향으로 자기장을 발생시키도록 구성되는,
    기판을 프로세싱하기 위한 장치.
  21. 제 20 항에 있어서,
    상기 장치는 상기 기판 지지부 상에 포지셔닝된 기판과 상기 전극 어셈블리 간에 상대 운동을 제공하도록 구성되는,
    기판을 프로세싱하기 위한 장치.
  22. 제 20 항에 있어서,
    상기 기판 지지부 및 전극 어셈블리는 진공 챔버 내에 포지셔닝되는,
    기판을 프로세싱하기 위한 장치.
  23. 제 20 항에 있어서,
    상기 자기장 소스는 상기 기판 지지부의 표면 위에 0.1 T 내지 10 T의 자기장 세기를 제공하는,
    기판을 프로세싱하기 위한 장치.
KR1020167034068A 2014-06-10 2015-05-12 전기장/자기장 가이딩 산 확산 KR101780407B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/301,184 2014-06-10
US14/301,184 US9377692B2 (en) 2014-06-10 2014-06-10 Electric/magnetic field guided acid diffusion
PCT/US2015/030396 WO2015191209A1 (en) 2014-06-10 2015-05-12 Electric/magnetic field guided acid diffusion

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177025997A Division KR102194191B1 (ko) 2014-06-10 2015-05-12 전기장/자기장 가이딩 산 확산

Publications (2)

Publication Number Publication Date
KR20170013275A KR20170013275A (ko) 2017-02-06
KR101780407B1 true KR101780407B1 (ko) 2017-09-21

Family

ID=54769490

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020177025997A KR102194191B1 (ko) 2014-06-10 2015-05-12 전기장/자기장 가이딩 산 확산
KR1020167034068A KR101780407B1 (ko) 2014-06-10 2015-05-12 전기장/자기장 가이딩 산 확산

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020177025997A KR102194191B1 (ko) 2014-06-10 2015-05-12 전기장/자기장 가이딩 산 확산

Country Status (6)

Country Link
US (1) US9377692B2 (ko)
JP (2) JP6306747B2 (ko)
KR (2) KR102194191B1 (ko)
CN (1) CN106463355A (ko)
TW (2) TWI603164B (ko)
WO (1) WO2015191209A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9798240B2 (en) * 2014-07-10 2017-10-24 Applied Materials, Inc. Controlling photo acid diffusion in lithography processes
US9733579B2 (en) * 2014-10-15 2017-08-15 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9823570B2 (en) * 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
KR102443698B1 (ko) 2018-03-16 2022-09-15 삼성전자주식회사 집적회로 소자의 제조 방법
WO2019240891A1 (en) 2018-06-15 2019-12-19 Mattson Technology, Inc. Methods and apparatus for post exposure bake processing of a workpiece
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US11650506B2 (en) 2019-01-18 2023-05-16 Applied Materials Inc. Film structure for electric field guided photoresist patterning process
EP3999912A4 (en) * 2019-07-17 2023-08-02 Applied Materials, Inc. POST-EXPOSURE TREATMENT METHODS AND APPARATUS
US20210041785A1 (en) * 2019-08-09 2021-02-11 Applied Materials, Inc. Process control of electric field guided photoresist baking process
EP4034944A4 (en) * 2019-09-23 2023-10-04 Applied Materials, Inc. LITHOGRAPHIC SIMULATION AND OPTICAL APPROACH CORRECTION
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
US20230045336A1 (en) * 2020-07-07 2023-02-09 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
KR20230144083A (ko) 2021-02-15 2023-10-13 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트의 노광 후 베이크 장치
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US20220390847A1 (en) * 2021-06-08 2022-12-08 Applied Materials, Inc. Metal oxide resist patterning with electrical field guided post-exposure bake

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050087701A1 (en) 2003-10-28 2005-04-28 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
US20070000613A1 (en) 2005-06-09 2007-01-04 Stanley Pau Electric field mediated chemical reactors
US20100276606A1 (en) 2009-04-29 2010-11-04 Mapper Lithography Ip B.V. Charged particle optical system comprising an electrostatic deflector
US20110165515A1 (en) 2006-08-04 2011-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Novel photoresist materials and photolithography processes
US20160357107A1 (en) 2015-06-08 2016-12-08 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106235A (ja) * 1993-10-08 1995-04-21 Matsushita Electric Ind Co Ltd パターン形成方法
CN1164122A (zh) * 1996-03-01 1997-11-05 株式会社日立制作所 等离子处理机及其处理方法
US5866303A (en) * 1997-10-15 1999-02-02 Kabushiki Kaisha Toshiba Resist developing method by magnetic field controlling, resist developing apparatus and method of fabricating semiconductor device
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6793177B2 (en) * 2002-11-04 2004-09-21 The Bonutti 2003 Trust-A Active drag and thrust modulation system and method
KR100515369B1 (ko) * 2003-10-02 2005-09-14 동부아남반도체 주식회사 전기장에 의하여 반도체 소자의 미세패턴을 형성하는노광장치 및 그 방법
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
JP4209819B2 (ja) * 2004-07-15 2009-01-14 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP4364105B2 (ja) * 2004-11-08 2009-11-11 東京エレクトロン株式会社 熱処理装置、及び熱処理方法
JP2007073685A (ja) * 2005-09-06 2007-03-22 Toshiba Corp レジストパターン形成方法及びベーク装置
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2009064993A (ja) * 2007-09-07 2009-03-26 Kawai Musical Instr Mfg Co Ltd 溶液層の処理方法
NL1036912C2 (en) * 2009-04-29 2010-11-01 Mapper Lithography Ip Bv Charged particle optical system comprising an electrostatic deflector.
US8471433B2 (en) 2009-10-14 2013-06-25 Panasonic Corporation Elastic wave device and electronic device using the same
JP2011138712A (ja) * 2009-12-28 2011-07-14 Kochi Univ Of Technology プラズマ発生源及びプラズマ発生装置並びに成膜装置、エッチング装置、アッシング装置、表面処理装置
WO2012057967A2 (en) 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness
KR20120135701A (ko) 2011-06-07 2012-12-17 삼성전기주식회사 스핀코팅 장치 및 방법, 그리고 구조물을 갖는 기판의 제조방법
US8709706B2 (en) 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US20130334657A1 (en) 2012-06-15 2013-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Planar interdigitated capacitor structures and methods of forming the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050087701A1 (en) 2003-10-28 2005-04-28 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
US20070000613A1 (en) 2005-06-09 2007-01-04 Stanley Pau Electric field mediated chemical reactors
US20110165515A1 (en) 2006-08-04 2011-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Novel photoresist materials and photolithography processes
US20100276606A1 (en) 2009-04-29 2010-11-04 Mapper Lithography Ip B.V. Charged particle optical system comprising an electrostatic deflector
US20160357107A1 (en) 2015-06-08 2016-12-08 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process

Also Published As

Publication number Publication date
JP6306747B2 (ja) 2018-04-04
JP6582081B2 (ja) 2019-09-25
JP2017525131A (ja) 2017-08-31
KR20170107592A (ko) 2017-09-25
KR20170013275A (ko) 2017-02-06
JP2018164076A (ja) 2018-10-18
KR102194191B1 (ko) 2020-12-22
TWI603164B (zh) 2017-10-21
CN106463355A (zh) 2017-02-22
US9377692B2 (en) 2016-06-28
TW201546571A (zh) 2015-12-16
US20150355549A1 (en) 2015-12-10
TW201812481A (zh) 2018-04-01
WO2015191209A1 (en) 2015-12-17
TWI645263B (zh) 2018-12-21

Similar Documents

Publication Publication Date Title
KR101780407B1 (ko) 전기장/자기장 가이딩 산 확산
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
KR102610050B1 (ko) 액침 필드 가이드 노광 및 노광-후 베이크 프로세스
US9280070B2 (en) Field guided exposure and post-exposure bake process
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
TWI830683B (zh) 用於電場引導的光阻劑圖案化製程的膜結構
US10048589B2 (en) Field guided post exposure bake application for photoresist microbridge defects
US9733579B2 (en) Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US9927709B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9996006B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US20210041785A1 (en) Process control of electric field guided photoresist baking process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal