JP6306747B2 - 電場/磁場案内された酸拡散 - Google Patents

電場/磁場案内された酸拡散 Download PDF

Info

Publication number
JP6306747B2
JP6306747B2 JP2016568851A JP2016568851A JP6306747B2 JP 6306747 B2 JP6306747 B2 JP 6306747B2 JP 2016568851 A JP2016568851 A JP 2016568851A JP 2016568851 A JP2016568851 A JP 2016568851A JP 6306747 B2 JP6306747 B2 JP 6306747B2
Authority
JP
Japan
Prior art keywords
electrode
substrate
antenna
antennas
assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016568851A
Other languages
English (en)
Other versions
JP2017525131A (ja
Inventor
ポン シエ,
ポン シエ,
ルドヴィーク ゴデット,
ルドヴィーク ゴデット,
トリスタン マー,
トリスタン マー,
ジョセフ シー. オルソン,
ジョセフ シー. オルソン,
クリストファー ベンチャー,
クリストファー ベンチャー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017525131A publication Critical patent/JP2017525131A/ja
Application granted granted Critical
Publication of JP6306747B2 publication Critical patent/JP6306747B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Coating Apparatus (AREA)

Description

本開示は、概して、基板を処理するための方法及び装置に関し、より具体的には、フォトレジストラインエッジラフネス/ライン幅ラフネスを制御するための方法及び装置に関する。
集積回路は、単一のチップ上に何百万もの部品(例えばトランジスタ、コンデンサ、及び抵抗)を含み得る、複雑な装置へと進化してきた。チップ上に部品を形成するために、フォトリソグラフィが使用され得る。概して、フォトリソグラフィのプロセスには、幾つかの基礎段階が含まれる。最初に、基板上にフォトレジスト層が形成される。フォトレジスト層は、例えば、スピンコーティングによって形成され得る。化学的に増幅されたフォトレジストには、レジスト樹脂及び光酸発生剤が含まれ得る。光酸発生剤は、後続する露光の段階で電磁放射に露光されると、現像プロセスにおけるフォトレジストの溶解性を変化させる。電磁放射は、超紫外線領域の波長といった、任意の適した波長を有し得る。電磁放射は、例えば、193nmのArFレーザ、電子ビーム、イオンビーム、又は他の放射源といった、任意の適した放射源からであり得る。次いで、露光前ベークプロセスにおいて、余剰の溶媒が除去され得る。
露光段階において、基板の特定の領域を選択的に電磁放射に露光させるため、フォトマスク又はレチクルが使用され得る。他の露光法は、マスクレス露光法であり得る。光への露光によって光酸発生剤は分解され得、それによって酸が生成され、レジスト樹脂内に潜在的な酸の画像がもたらされる。露光の後、基板は、露光後ベークプロセスにおいて加熱され得る。露光後ベークプロセスの間、光酸発生剤によって生成された酸は、レジスト樹脂と反応し、後続の現像プロセスの間にレジストの溶解性を変化させる。
露光後ベークの後、基板、特にフォトレジスト層は、現像されリンスされる。使用されたフォトレジストのタイプに応じて、電磁放射に露光された基板の領域は、除去に対する耐性を有するか、又はより除去され易いかのどちらかであり得る。現像及びリンスの後、マスクのパターンは、基板に転写される。
チップの設計の進化によって、絶えず、より高速でより高密度な回路が必要とされる。より高密度の回路への需要によって、集積回路部品の寸法の縮小が必要となる。集積回路部品の寸法が縮小するにつれて、より多くの要素が半導体集積回路上の所与のエリア内に配置されることが求められる。それに応じて、リソグラフィ処理は、さらにより小さな特徴を基板上に転写しなければならず、それは、精密に、正確に、かつ損傷なく行われなければならない。特徴を精密かつ正確に基板上に転写するために、高解像度リソグラフィは、短波長の放射を提供する光源を使用し得る。短波長は、基板又はウエハ上の最小プリント可能サイズを縮小することに資する。しかしながら、そのような小さな寸法では、フォトレジスト層のエッジの粗さを制御するのがより困難になった。
従って、ラインエッジラフネス/ライン幅ラフネスを制御及び最小化するための方法及び装置が必要である。
1つの実施形態では、基板を処理するための装置が提供される。装置は、基板支持体を含む。基板支持体は、基板を支持するように構成された表面を含む。装置はまた、基板支持体上に位置付けられた基板を加熱するように構成された熱源を含む。装置はまた、電極アセンブリを含む。電極アセンブリは、少なくとも第1の電極と第2の電極とを含む。電極アセンブリは、基板支持体の表面にほぼ平行な方向に電場を生成するように構成される。
別の実施形態では、基板を処理するための装置が提供される。装置は、処理チャンバを含む。装置はまた、真空処理チャンバ内に位置付けられた基板支持体を含む。基板支持体は、表面を含む。表面は、基板を支持するように構成される。装置はまた、熱源を含む。熱源はまた、基板支持体上に位置付けられた基板を加熱するように構成される。装置は、電極アセンブリを更に含む。基板アセンブリは、第1の電極と第2の電極とを含む。電極アセンブリは、基板支持体の表面にほぼ平行な方向に電場を生成するように構成される。第1の電極は、支持体構造から突出する一又は複数のアンテナを有する支持体構造を含む。第1の電極の各アンテナは、第1の電極の他の各アンテナにほぼ平行である。第2の電極はまた、支持体構造から突出する一又は複数のアンテナを有する支持体構造を含む。第2の電極の各アンテナは、第2の電極の他の各アンテナにほぼ平行である。更に、第1の電極の各アンテナは、第2の電極の各アンテナにほぼ平行である。加えて、第1の電極の少なくとも1つのアンテナは、第2の電極の2つのアンテナの間に位置付けられ、第2の電極の少なくとも1つのアンテナは、第1の電極の2つのアンテナの間に位置付けられる。装置は、基板支持体上に位置付けられた基板と電極アセンブリとの間に相対運動を提供するように構成される。
別の実施形態では、基板を処理する方法が提供される。方法は、光酸発生剤を含むフォトレジスト層を基板に塗布することを含む。方法はまた、フォトレジスト層の中に電磁放射に露光されていないフォトレジスト層の部分と異なる化学特性を有する材料のほぼ平行な線を形成するために、フォトレジスト層の部分を電磁放射に露光することを含む。方法は、電磁放射に基板を露光した後に、基板を加熱することを更に含む。方法は、加熱中に線の方向と平行な方向において、電場を基板に印加することを更に含む。
本開示の上述の特徴を詳細に理解し得るように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、実施形態の一部は付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面は本開示の典型的な実施形態のみを例示しており、従って本開示の範囲を限定すると見なすべきではないことに、留意されたい。
1つの実施形態による、基板を処理するための装置の概略断面図である。 図1の電極アセンブリ及びフォトレジスト層の1つの実施形態の上面図である。 基板を処理する方法のフロー図である。 本明細書で開示された実施形態による、基板を処理するために使用され得る代表的処理システムの概略図である。
理解を容易にするため、可能な場合には、上記の図に共通する同一の要素を示すために同一の参照番号が使用されている。さらに、1つの実施形態中の要素は、本明細書に記載される他の実施形態中での利用のために有利に適合され得る。
フォトリソグラフィによって形成された線のラインエッジラフネス/ライン幅ラフネスを最小化するための方法及び装置が提供される。露光後ベーク手順中に光酸発生剤によって生成される酸のランダムな拡散は、ラインエッジラフネス/ライン幅ラフネスに寄与する。本明細書で開示された方法は、フォトリソグラフィ処理中に電場及び/又は磁場を印加する。場印加は、線及び間隔方向に沿って光酸発生剤により生成された酸の拡散を制御し、ランダムな拡散から生じるラインエッジラフネス/ライン幅ラフネスを防止する。前述の方法を実行するための装置がまた、本明細書で開示される。
図1は、1つの実施形態による、基板を処理するための装置の概略断面図である。図1の実施形態に示すように、装置は、真空処理チャンバ100の形態であり得る。他の実施形態においては、処理チャンバ100は、真空源に連結されていなくてよい。
処理チャンバ100は、独立した処理チャンバであり得る。代替的には、処理チャンバ100は、例えば、インライン処理システム、クラスタ処理システム、又は図4に示すトラック処理システムといった処理システムの一部であり得る。図4は、本開示の実施形態による、基板処理に使用され得る1つの代表的な処理システムを示す。示されるように、処理システム400には、負荷ポート410、コーティングチャンバ420、処理チャンバ100、露光チャンバ430(例えばスキャナ)、第2の処理チャンバ100、現像チャンバ440、及び後処理チャンバ450が含まれる。図示されるように、処理システム400の各チャンバは、移送チャンバ405又は移送チャンバ415によって、隣接するそれぞれのチャンバに連結される。移送チャンバ405及び移送チャンバ415は、ほぼ同じであっても良く、違っていてもよい。
負荷ポート410は、基板を処理システム400に搬入する、又は処理システム400から搬出するために使用され得る。コーティングチャンバ420は、例えば、基板にフォトレジストを塗布するために使用され得る。コーティングチャンバ420は、例えば、スピンコーターであり得る。露光チャンバ430は、基板上のフォトレジスト層内に潜在的な酸の画像を形成するために、基板を電磁エネルギーに露光するのに使用され得る。現像チャンバ440は、例えば、フォトレジスト層の部分を除去するために使用され得る。後処理チャンバ450は、例えば、基板に対して様々な後処理のステップを実施するために使用され得る。処理チャンバ100は、以下により詳しく記載され、露光前ベーク、露光後ベーク、及び/又は他の処理のステップに使用され得る。以下に記載されるように、処理チャンバ100は、電極アセンブリ116を含む。しかし、コーティングチャンバ420、露光チャンバ430、及び現像チャンバ440もまた、電極アセンブリ116を含みうると理解されたい。
処理チャンバ100には、チャンバ壁102、電極アセンブリ116、及び基板支持体アセンブリ138が含まれる。チャンバ壁102には、側壁106、リッドアセンブリ110、及び底部108が含まれる。チャンバ壁102は、部分的に処理空間112を取り囲む。処理空間112は、基板140の、処理チャンバ100の内外への移動を容易にするように構成された、基板移送ポート(図示せず)を通ってアクセスされる。処理チャンバ100が処理システムの一部である実施形態においては、基板移送ポートは、基板140の移送チャンバの内外への移送を可能にし得る。
処理空間112を排気口に連結するため、処理チャンバ100のリッドアセンブリ110、側壁106又は底部108のうちの1つを通じて、ポンピングポート114がオプションで配置され得る。排出口は、ポンピングポート114を真空ポンプといった様々な真空ポンプ部品と連結する。ポンピング部品によって、処理空間112の圧力が減少され得、任意のガス及び/又はプロセスの副産物が処理チャンバ100から外に排出され得る。処理チャンバ100は、一又は複数の源化合物を処理空間112内に供給するための一又は複数の供給源104に連結され得る。
基板支持体アセンブリ138が、処理チャンバ100内の中央に配置される。基板支持体アセンブリ138は、処理の間、基板140を支持する。基板支持アセンブリ138は、少なくとも1つの埋め込み式ヒータ132をカプセル化するアルミニウム本体124を含み得る。幾つかの実施形態においては、基板支持体アセンブリ138は、静電チャックであり得る。抵抗要素などの埋め込み式ヒータ132は、基板支持体アセンブリ138内に配置される。ヒータ132は、基板支持体アセンブリ138及びその上に位置付けられた基板140を既定の温度まで制御可能に加熱する。ヒータ132は、基板140の温度を、迅速に一定の割合で上昇させ、基板140の温度を正確に制御するように構成される。幾つかの実施形態においては、ヒータ132は、電源174に接続され、電源174によって制御される。電源174は、代替的に又は追加的に、基板支持体アセンブリ138に電力を印加し得る。電源174は、下記の電源170と同様に構成され得る。
幾つかの実施形態においては、基板支持体アセンブリ138は、回転するように構成され得る。幾つかの実施形態においては、基板支持体アセンブリ138は、z軸の周囲を回転するように構成される。基板支持体アセンブリ138は、連続的に若しくは恒常的に回転するように構成され得、又は、段階的に回転するように構成され得る。例えば、基板支持体アセンブリ138は、90°、180°、又は270°といった既定の量で回転し得、既定の量の時間だけ停止し得る。
他の実施形態においては、処理チャンバ100は、他の加熱源を含み得る。例えば、加熱ランプが、処理チャンバ100の内部又は外部に位置付けられ得る。他の実施形態においては、基板140上に位置付けられたフォトレジスト層150(若しくは他の層)及び/又は電極アセンブリ116のアンテナ220、221を加熱するために、一又は複数のレーザが使用され得る。他の実施形態においては、基板支持体アセンブリ138は、基板支持体アセンブリ138上に位置付けられた基板140の温度をより速く上昇させるために、高効率熱交換液を循環させるように構成され得る。
概して、基板支持体アセンブリ138は、第1の表面134及び第2の表面126を有する。第1の表面134は、第2の表面126の反対側である。第1の表面134は、基板140を支持するように構成される。第2の表面126には、ステム142が連結されている。基板140は、基板支持体アセンブリ138の第1の表面134上に位置付けられる。基板140は、誘電体基板、ガラス基板、半導体基板、又は導電体基板といった、任意のタイプの基板であり得る。基板140は、上に配置された層145を有し得る。層145は、任意の所望の層であり得る。他の実施形態においては、基板140は2つ以上の層145を有し得る。基板140は、層145の上に配置されたフォトレジスト層150もまた有する。基板140は、フォトリソグラフィプロセスの中の露光段階において、既に電磁放射に露光されている。フォトレジスト層150は、露光段階から中に形成された、潜像線155を有する。潜像線155は、ほぼ平行であり得る。他の実施形態においては、潜像線155は、ほぼ平行でなくてよい。また図示されたように、基板支持体アセンブリ138の第1の表面134は、z方向に距離dだけ電極アセンブリ116から分離されている。ステム142は、基板支持体アセンブリ138を、上昇した処理位置(図示のとおり)と下降した基板移送位置との間で移動させるリフトシステム(図示せず)に連結される。リフトシステムは、基板140の位置をz方向に正確にかつ厳密に制御し得る。幾つかの実施形態においては、リフトシステムはまた、基板140をx方向、y方向、又はx方向及びy方向に移動させるようにも構成され得る。ステム142は、追加で、基板支持体アセンブリ138と処理チャンバ100の他の部品との間の電気及び熱電対の導線用の導管を提供する。処理空間112と処理チャンバ100外部の大気との間に真空シールを設け、基板支持体アセンブリ138のz方向の移動を容易にするために、ベローズ146が基板支持体アセンブリ138に連結される。
オプションで、リッドアセンブリ110は入り口180を含み得、供給源104により供給されたガスは、入り口180を通って処理チャンバ100に侵入し得る。オプションで、供給源104は、窒素、アルゴン、ヘリウム、その他のガス、又はそれらの組み合わせといったガスによって、処理空間112を制御可能に加圧し得る。供給源104からのガスによって、処理チャンバ100内に制御された環境が作り出され得る。オプションで、アクチュエータ190は、リッドアセンブリ110と電極アセンブリ116との間に連結され得る。アクチュエータ190は、電極アセンブリ116をx、y、及びz方向のうちの一又は複数の方向に移動させるように構成され得る。本明細書では、x及びy方向は、横方向又は横寸法と称される。アクチュエータ190によって、電極アセンブリ116が基板140の表面をスキャンすることが可能になる。アクチュエータ190はまた、距離dが調節されることも可能にする。幾つかの実施形態においては、電極アセンブリ116は、固定ステム(図示せず)によってリッドアセンブリ110に連結される。他の実施形態においては、電極アセンブリ116は、処理チャンバ100の底部108の内部、基板支持体アセンブリ138の第2の表面126、又はステム142に連結され得る。更に他の実施形態においては、電極アセンブリ116は、基板支持体アセンブリ138の第1の表面134と第2の表面126との間に埋め込まれ得る。
電極アセンブリ116は、少なくとも第1の電極158と第2の電極160とを含む。図示されたように、第1の電極158は、電源装置170に連結され、第2の電極160は、オプションの電源装置175に連結される。他の実施形態においては、第1の電極158及び第2の電極160のうちの1つが、電源装置に連結され得、もう一方の電極が、接地に連結され得る。幾つかの実施形態においては、第1の電極158及び第2の電極160は、接地に連結され、電源装置174は、電力を基板支持体に供給し、正バイアスと負バイアスとの間で切り替わる二極電源装置である。幾つかの実施形態においては、電源装置170又は電源装置175は、第1の電極158及び第2の電極160の両方に連結され得る。他の実施形態においては、電源装置170又は電源装置175は、第1の電極158、第2の電極160、及び基板支持体アセンブリ138に連結され得る。そのような実施形態においては、第1の電極158、第2の電極160、及び基板支持体アセンブリ138の各々へのパルス遅延は、異なり得る。電極アセンブリ116は、基板支持体アセンブリ138の第1の表面によって画定されるx−y平面に平行な電場を生成するように構成され得る。例えば、電極アセンブリ116は、y方向、x方向又はx−y平面の他の方向のうちの1つに、電場を生成するように構成され得る。
電源装置170及び/又は電源装置175は、例えば、約500Vと約100kVの間の電圧を、電極アセンブリ116に供給するように構成される。幾つかの実施形態においては、電源装置174はまた、電力を電極アセンブリ116に供給するようにも構成され得る。幾つかの実施形態においては、電源供給装置170、電源供給装置174、若しくは電源供給装置175の何れか又はすべてが、パルス直流(DC)電源装置である。パルスDC波は、半波整流器又は全波整流器からであり得る。電源装置170、電源装置174及び/又は電源装置175は、約10Hzと約1MHzの間、例えば約5kHzの周波数で電力を供給するように構成され得る。パルスDC電力のデューティサイクルは、約20%と約60%の間といった、約5%と約95%の間であり得る。幾つかの実施形態においては、パルスDC電力のデューティサイクルは、約20%と約40%の間であり得る。他の実施形態においては、パルスDC電力のデューティサイクルは、約60%であり得る。パルスDC電力の立ち上がり及び立ち下がり時間は、約10nsと約500nsの間といった、約1nsと約1000nsの間であり得る。他の実施形態においては、パルスDC電力の立ち上がり及び立ち下がり時間は、約10nsと約100nsの間であり得る。幾つかの実施形態においては、パルスDC電力の立ち上がり及び立ち下がり時間は、約500nsであり得る。幾つかの実施形態においては、電源供給装置170、電源供給装置174、及び電源供給装置175の何れか又はすべてが、交流電源装置である。他の実施形態においては、電源供給装置170、電源供給装置174、及び電源供給装置175の何れか又はすべてが、直流電源装置である。
幾つかの実施形態においては、電源供給装置170、電源供給装置174、及び電源供給装置175の何れか又はすべてが、DCオフセットを使用し得る。DCオフセットは、例えば、印加電圧の約5%から約60%の間といった、印加電圧の約0%から約75%の間であり得る。幾つかの実施形態においては、第1の電極158及び第2の電極160が負にパルス化される一方で、基板支持体アセンブリもまた、負にパルス化される。これらの実施形態においては、第1の電極158及び第2の電極150並びに基板支持体アセンブリ138は、同期されるがオフセットされる。例えば、第1の電極158は、基板支持体アセンブリ138が「0」の状態である間、「1」の状態であり、次に、基板支持体アセンブリ138は、第1の電極158が0の状態である間、1の状態であり得る。
電極アセンブリ116は、ほぼ、基板支持体アセンブリ138の幅にわたって広がっている。他の実施形態においては、電極アセンブリ116の幅は、基板支持体アセンブリ138の幅よりも小さくてよい。例えば、電極アセンブリ116は、基板支持体アセンブリ138の幅の、約20%から約40%といった、約10%から約80%の間にわたって広がり得る。電極アセンブリ116の幅が基板支持体アセンブリ138の幅よりも小さい実施形態においては、アクチュエータ190は、基板支持体アセンブリ138の第1の表面134上に位置付けられた基板140の表面にわたって、電極アセンブリ116をスキャンし得る。例えば、アクチュエータ190は、電極アセンブリ116が基板140の表面全体をスキャンするように、スキャンし得る。他の実施形態においては、アクチュエータ190は、基板140のある一部分だけをスキャンし得る。代わりに、基板支持体アセンブリ138は、電極アセンブリ116の下をスキャンし得る。
幾つかの実施形態においては、処理チャンバ100内に、一又は複数の磁石196が位置付けられ得る。図1に示す実施形態においては、磁石196は、側壁106の内側表面に連結される。他の実施形態においては、磁石196は、処理チャンバ100内の他の場所、又は処理チャンバ100の外部に位置付けられ得る。磁石196は、例えば、永久磁石又は電磁石であり得る。代表的な永久磁石には、セラミック磁石及びレアアース磁石が含まれる。磁石196に電磁石が含まれる実施形態においては、磁石196は、電源(図示せず)に連結され得る。磁石196は、基板支持体アセンブリ138の第1の表面134で電極アセンブリ116によって生成された電場線の方向と直角又は平行な方向に磁場を生成するように構成される。例えば、磁石196は、電極アセンブリ116により生成された電場がy方向にあるときには、x方向に磁場を生成するように構成され得る。磁場は、潜像線155と平行な方向といった磁場に直角な方向に、フォトレジスト層150の光酸発生剤によって生成された荷電種255(図2に図示)及び分極種(図示されず)を駆動する。潜像線155と平行な方向に荷電種255及び分極種を駆動することによって、ラインラフネスが低減され得る。荷電種255及び分極種の均一な方向への移動は、図2の両矢印270によって示される。一方、磁場が印加されないときには、荷電種255及び分極種は、矢印270’で示されるように、ランダムに移動し得る。
続けて図2を参照すると、電極アセンブリ116は、少なくとも第1の電極158及び第2の電極160を含む。第1の電極158には、第1の端子210、支持体構造230、及び一又は複数のアンテナ220が含まれる。第2の電極160には、第2の端子211、支持体構造231、及び一又は複数のアンテナ221が含まれる。第1の電極158の第1の端子210、支持体構造230、及び一又は複数のアンテナ220は、単一の本体を形成し得る。代わりに、第1の電極158は、連結され得る、別個の部分を含み得る。例えば、一又は複数のアンテナ220は、支持体構造230から取外し可能であり得る。同様に、第2の電極160は、単一の本体であり得るか、又は取外し可能な別個の部品から成り得る。第1の電極158及び第2の電極160は、任意の適した方法によって用意され得る。例えば、第1の電極158及び第2の電極160は、機械加工、鋳造、又は積層造形によって製作され得る。
支持体構造230は、金属といった導電性材料から作られ得る。例えば、支持体構造230は、シリコン、ポリシリコン、炭化ケイ素、モリブデン、アルミニウム、銅、グラファイト、銀、プラチナ、金、パラジウム、亜鉛、他の材料、又はこれらの混合物から作られ得る。支持体構造230は、任意の所望の寸法を有し得る。例えば、支持体構造230の長さLは、約25mmから約450mmの間、例えば、約100mmから約300mmの間であり得る。幾つかの実施形態においては、支持体構造230は、標準的な半導体ウエハの直径とおよそ等しい長さLを有している。他の実施形態においては、支持体構造230は、標準的な半導体ウエハの直径より大きい又は小さい長さLを有している。例えば、様々な典型的実施形態では、支持体構造230の長さLは、約25mm、約51mm、約76mm、約100mm、約150mm、約200mm、約300mm、又は約450mmであり得る。支持体構造230の幅Wは、約2mmから約25mmの間であり得る。他の実施形態では、支持体構造230の幅Wは、約2mmを下回る。他の実施形態においては、支持体構造230の幅Wは、約25mmを上回る。支持体構造230の厚さは、約5mmなど、約2mmから約8mmの間といった、約1mmから約10mmの間であり得る。幾つかの実施形態においては、支持体構造230は、正方形、円筒形、長方形、楕円形、棒状、又は他の形状であり得る。丸い外表面を有する実施形態は、アーク放電を回避し得る。
支持体構造231は、支持体構造230と同一の材料から作られ得る。支持体構造230にとって適した寸法の範囲は、支持体構造231にとってもまた適している。幾つかの実施形態においては、支持体構造230及び支持体構造231は、同一の材料から作られる。他の実施形態においては、支持体構造230及び支持体構造231は、異なる材料から作られる。支持体構造230と支持体構造231の長さL、幅W及び厚さは、同じであってもよく、異なっていてもよい。
第1の電極158の一又は複数のアンテナ220もまた、導電性材料から作られてもよい。一又は複数のアンテナ220は、支持体構造230と同一の材料から作られてよい。第1の電極158の一又は複数のアンテナ220は、任意の所望の寸法を有しうる。例えば、一又は複数のアンテナ220の長さL1は、約25mmから約450mmの間、例えば、約100mmから約300mmの間であり得る。幾つかの実施形態においては、支持体構造230は、標準的なウエハの直径とおよそ等しい長さL1を有している。他の実施形態においては、一又は複数のアンテナ220の長さL1は、標準的なウエハの直径の約75%から90%の間であり得る。一又は複数のアンテナ220の幅W1は、約2mmから約25mmの間であり得る。他の実施形態においては、一又は複数のアンテナ220の幅W1は、約2mmを下回る。他の実施形態においては、一又は複数のアンテナ220の幅W1は、25mmを上回る。一又は複数のアンテナ220の厚さは、約2mmから約8mmの間といった、約1mmから約10mmの間であり得る。一又は複数のアンテナ220は、正方形、長方形、楕円形、円形、円筒形、又は別の形状の断面を有し得る。丸い外表面を有する実施形態は、アーク放電を回避し得る。
各アンテナ220は、同一の寸法を有し得る。代わりに、一又は複数のアンテナ220のうちの幾つかは、一又は複数の他のアンテナ220とは異なる寸法を有し得る。例えば、一又は複数のアンテナ220のうちの幾つかは、一又は複数の他のアンテナ220とは異なる長さL1を有し得る。一又は複数のアンテナ220の各々は、同一の材料から作られ得る。他の実施形態においては、アンテナ220のうちの幾つかは、その他のアンテナ220と異なる材料で作られ得る。
アンテナ221は、アンテナ220と同じ範囲の材料から作られ得る。アンテナ220にとって適した寸法の範囲は、アンテナ221にとってもまた適したものである。幾つかの実施形態においては、支持体構造220及びアンテナ221は、同一の材料から作られる。他の実施形態においては、アンテナ220及びアンテナ221は、異なる材料から作られる。アンテナ220とアンテナ221の長さL1、幅W1及び厚さは、同じであってもよく、異なっていてもよい。
アンテナ220は、1から約40のアンテナ220を含み得る。例えば、アンテナ220は、約10から約20のアンテナ220といった、約4から約40のアンテナ220を含み得る。他の実施形態においては、アンテナ220は、40を上回るアンテナ220を含み得る。幾つかの実施形態においては、各アンテナ220は、引き続き、支持体構造230にほぼ直角であり得る。例えば、支持体構造230が真っすぐである実施形態では、各アンテナ220は、支持体構造230にほぼ平行であり得る。各アンテナ220は、他の各アンテナ220とほぼ平行であり得る。各アンテナ221は、支持体構造231及び他の各アンテナ221に対して、同様に位置付けられ得る。
アンテナ220の各々は、アンテナ221の各々とほぼ平行であり得る。幾つかの実施形態においては、アンテナ220の少なくとも1つは、アンテナ221の少なくとも1つとほぼ平行である。アンテナ220の各々は、アンテナ221の各々と垂直に位置合わせされ得る。幾つかの実施形態においては、アンテナ220の少なくとも1つは、アンテナ221の少なくとも1つと垂直に位置合わせされる。
支持体構造230及び支持体構造231は、真っすぐである。他の実施形態においては、支持体構造230及び支持体構造231は、真っすぐでなくてもよい。他の例では、支持体構造230及び支持体構造231は、湾曲したり、ギザギザであったり、又は他の輪郭若しくは形状を有していてもよい。これらの実施形態において、各アンテナ220は、引き続き他の各アンテナ220とほぼ平行であり得る。これらの実施形態において、各アンテナ221は、引き続き他の各アンテナ221とほぼ平行であり得る。
各アンテナ220は、終端部223を有する。各アンテナ221は、終端部225を有する。支持体構造230と終端部225との間に、距離Cが規定される。支持体構造231と終端部223との間に、距離C’が規定される。距離CとC’の各々は、約1mmから約10mmの間であり得る。他の実施形態においては、距離CとC’は、約1mm未満であってもよく、又は約10mmを上回ってもよい。幾つかの実施形態においては、距離Cと距離C’とは等しい。他の実施形態では、距離Cと距離C’とは異なる。
アンテナ221のうちの1つと隣接するアンテナ221のうちの1つとの相対する表面間に、距離Aが規定される。1つのアンテナ220と隣接する1つのアンテナ220の接面間に、距離A’が規定される。距離AとA’は、約6mmを上回り得る。例えば、距離AとA’は、約10mmから約15mmの間といった、約6mmから約20mmの間であり得る。各隣接するアンテナ221、220間の距離AとA’は、同じであっても異なっていてもよい。例えば、一又は複数のアンテナ220の第1と第2のアンテナ、第2の第3のアンテナ、及び第3と第4のアンテナの間の距離A’は異なっていてもよい。他の実施形態では、距離A’は同じであってもよい。
アンテナ220のうちの1つと隣接するアンテナ221のうちの1つとの接面間に、距離Bが規定される。距離Bは、例えば、約1mmを上回り得る。例えば、距離Bは、約4mmから約6mmの間といった、約2mmから約10mmの間であり得る。既定された距離Bは同じであってもよく、各距離Bは異なっていてもよく、又は幾つかの距離Bは同じであってもよく、いくつかの距離Bは異なっていてもよい。距離Bを修正することによって、電場強度を容易に制御することが可能になる。
アンテナ220、221は、フォトレジスト層150上方で交互の配置で配向され得る。例えば、第1の電極158のアンテナ220及び第2の電極160のアンテナ221は、アンテナ220の少なくとも1つが、アンテナ221の2つの間に位置付けられるように、位置付けられ得る。加えて、少なくとも1つのアンテナ221は、アンテナ220の2つの間に位置付けられ得る。幾つかの実施形態においては、アンテナ220の1つを除くすべてが、アンテナ221の2つの間に位置付けられる。これらの実施形態においては、アンテナ221の1つを除くすべてが、アンテナ220の2つの間に位置付けられる。幾つかの実施形態においては、アンテナ220及びアンテナ221は各々、アンテナを1つだけ有していてもよい。
幾つかの実施形態においては、第1の電極158は、第1の端子210を有しており、第2の電極160は、第2の端子211を有している。第1の端子210は、第1の電極158と、電源装置170、電源装置175又は接地との間の接点であり得る。第2の端子211は、第2の電極160と、電源装置170、電源装置175又は接地との間の接点であり得る。第1の端子210及び第2の端子211は、それぞれ第1の電極158及び第2の電極160の一端にあるものとして示されている。他の実施形態においては、第1の端子210及び第2の端子211は、それぞれ第1の電極158及び第2の電極160の他の位置に位置付けられ得る。第1の端子210及び第2の端子211は、それぞれ支持体構造230及び支持体構造231と異なる形状及びサイズを有している。他の実施形態においては、第1の端子210及び第2の端子211は、概して、それぞれ支持体構造230及び支持体構造231と同一の形状及びサイズを有し得る。
動作中に、電源装置170、電源174、又は電源装置175などの電源装置から、第1の端子210、第2の端子211、及び/又は基板支持体アセンブリ138に、電圧が供給され得る。供給された電圧によって、一又は複数のアンテナ220の各アンテナと、一又は複数のアンテナ221の各アンテナとの間に電場が作り出される。電場は、一又は複数のアンテナ220の各アンテナと、一又は複数のアンテナ221の隣接するアンテナとの間で、最も強力である。アンテナ220及び221の、交互のかつ位置合わせされた空間的関係によって、基板アセンブリ138の第1の表面134によって画定される面に平行な方向に電場が作り出される。基板140は、潜像線155が、電極アセンブリ116によって生成された電場の線と平行になるように、第1の表面134上に位置付けられる。荷電種255は荷電しているため、荷電種255は、電場によって影響される。フォトレジスト層150内で光酸発生剤によって生成された荷電種255は、電場によって、電場の方向に駆動される。潜像線155と平行な方向に荷電種255を駆動することによって、ラインラフネスが低減され得る。均一な方向への移動は、両矢印270によって表される。対照的に、第1の端子210又は第2の端子211に対して電圧が印加されていない場合、任意の特定の方向に荷電種255を駆動する電場は作り出されない。結果として、矢印270’で示すように、荷電種255はランダムに移動し得る。
図3は、基板140を処理する代表的な方法のフロー図である。基板140を処理するための方法は、複数の段階を有する。各段階は、(文脈からその可能性が除外されている場合を除いて)任意の順序で又は同時に実施され得、方法は、(文脈からその可能性が除外されている場合を除いて)いかなる既定の段階よりも前に、又は既定の段階のうちの2つの段階の間に、又は既定の全段階の後に実施される、一又は複数の他の段階を含むことができる。全ての実施形態が、必ずしも全ての段階を含んでいるわけではない。
概して、方法は、段階310を含む。段階310は、光酸発生剤を含むフォトレジストを基板140に塗布することを含む。方法はまた、段階320を含み得る。段階320は、露光前ベークで基板140を加熱することを含む。概して、方法はまた、段階330を含む。段階330は、基板140を電磁放射に露光することを含む。方法は、段階340を更に含み得る。段階340は、露光後ベークで基板140を加熱することを含む。方法はまた、段階350と段階360とを含み得る。段階350及び段階360は、それぞれ、基板140を現像することと、基板140を後処理することとを含む。
概して、方法はまた、段階301を含む。段階301は、加熱中に潜像線155の方向と平行な方向に、光酸発生剤により生成された荷電種255を駆動するために、電場及び/又は磁場を基板140に印加することを含む。段階301は、段階340中に生じると本明細書中には記載されている。しかしながら、段階301は、他の段階の何れか又は何れかの組み合わせ中に生じ得る。
段階310において、フォトレジストは、フォトレジスト層150を形成するために基板140に塗布される。フォトレジスト層150は、例えば、スピンコーティング装置内側のスピンコーティングによって、塗布され得る。基板140は、スピンコーターの回転可能なチャックに導入され位置付けられ得る。この後に、フォトレジストを含む溶液が基板140に塗布され、基板140は、迅速にスピンし得るので、結果として、均一なフォトレジスト層150がもたらされる。
幾つかの実施形態においては、スピンコーターは、処理システム400といった、処理システムの一部であり得る。スピンコーターが処理システム400の一部である実施形態においては、スピンコーターは、コーティングチャンバ420内にあり得る。こうした実施形態においては、基板140は、負荷ポート410を経由して処理システム400内に搬入され得、その後、移送チャンバ405を経由してコーティングチャンバ420へ移送され得る。
スピンコーターは、一又は複数の電源に連結された電極アセンブリ116を含み得る。例えば、コーティングチャンバ420は、一又は複数の電源に連結された電極アセンブリ116を含み得る。基板140は、電極アセンブリ116が、基板140の横寸法に平行な電場を生成し得るように、電極アセンブリ116に対して位置付けられ得る。幾つかの実施形態においては、スピンコーターの電極アセンブリ116はまた、アクチュエータ190に連結され得る。スピンコーターはまた、磁石196を含み得る。磁石196は、電極アセンブリ116によって生成された電場線の方向と直角又は平行な方向に磁場を生成するように構成され得る。
フォトレジストは、溶媒、フォトレジスト樹脂、及び光酸発生剤を含み得る。フォトレジスト樹脂は、任意のポジ型のフォトレジスト樹脂、又は任意のネガ型のフォトレジスト樹脂であり得る。代表的なフォトレジスト樹脂には、アクリレート、ノボラック樹脂、ポリメタクリル酸メチル、及びポリオレフィンスルホンが含まれる。他のフォトレジスト樹脂もまた、使用され得る。
電磁放射への露光前に、光酸発生剤は、酸性カチオン及びアニオンといった荷電種255を生成する。光酸発生剤は、分極種もまた生成し得る。光酸発生剤は、樹脂に、電磁放射に対する感光性を与える。代表的な光酸発生剤には、例えば、スルホン酸塩類、スルホン酸エステル、及びスルホニルオキシケトンといった、スルホン酸化合物が含まれる。他の適した光酸発生剤には、アリールジアゾニウム塩、ハロニウム塩、芳香族スルホニウム塩、及びスルホキソニウム塩といったオニウム塩、又はセレニウム塩が含まれる。他の代表的な光酸発生剤には、ニトロベンジルエステル、s−トリアジン誘導体、イオン性スルホン酸ヨードニウム(ionic iodonium sulfonates)、パーフルオロアルカンスルホン酸、アリールトリフラート、並びにこれらの誘導体及び類似体、ピロガロール誘導体、並びにアルキルジスルホンが含まれる。他の光酸発生剤もまた、使用され得る。
オプションの段階320で、基板140が露光前ベークで加熱される。露光前ベーク中に、フォトレジスト用溶媒を部分的に蒸発させるため、基板が加熱される。段階320の露光前ベーク及び段階310のフォトレジスト塗布は、同一のチャンバで起こり得る。例えば、両段階がスピンコーターの中で起こり得る。1つの実施形態では、両段階がコーティングチャンバ420の中で起こり得る。代替的には、基板140は、異なる処理チャンバに移送され得る。例えば、処理システム400を使用する実施形態では、基板140はコーティングチャンバ420から、移送チャンバ405を経由して処理チャンバ100へと移送され得る。
上述のように、処理チャンバ100は、電極アセンブリ116を含む。基板140は、電極アセンブリ116が、基板140の横寸法に平行な電場を生成し得るように、電極アセンブリ116に対して処理チャンバ100に位置付けられ得る。幾つかの実施形態においては、処理チャンバ100の電極アセンブリ116はまた、アクチュエータ190に連結され得る。処理チャンバ100はまた、磁石196を含み得る。磁石196は、電極アセンブリ116によって生成された電場線の方向と直角又は平行な方向に磁場を生成するように構成され得る。
段階330で、基板140及びフォトレジスト層150の一部は、電磁放射に露光される。段階330は、露光チャンバで実行され得る。1つの実施形態では、段階320完了後に、基板140は、露光チャンバに移送される。処理システム400を使用する実施形態においては、基板140は、処理チャンバ100から、移送チャンバ405を経由して露光チャンバ430へと移送され得る。露光チャンバはまた、一又は複数の電源及び/又はアクチュエータ190に連結された電極アセンブリ116並びに磁石196を含み得る。基板140は、コーティングチャンバ420に関連して記載されるように、電極アセンブリ116及び磁石196に対して露光チャンバ640に位置付けられ得る。
段階330では、フォトレジスト層150の一部は、選択的に露光され、フォトレジスト層150の一部は、選択的に露光されない。電磁放射に露光されたフォトレジスト層150の一部は、電磁放射に露光されないフォトレジスト層150の一部とは、異なる化学的特性を有し得る。光酸発生剤によって生成された酸は、結果的にレジスト樹脂中の潜在的な酸の画像になる。幾つかの実施形態においては、フォトマスク又はレチクルは、フォトレジスト層150の間に配置され得、フォトレジスト層150は、マスク又はレチクルを通して電磁放射に露光され得る。マスク又はレチクルは、フォトレジスト層150に対して線を含むパターンを転写するように構成され得る。他の実施形態においては、線を含むパターンが、マスクレスリソグラフィ技法を用いてフォトレジスト層150に転写され得る。転写された潜像線155は、任意の所望の長さ、幅及び潜像線155間の間隔を有し得る。例えば、幾つかの実施形態においては、ラインの幅とラインの間隔は、約10nmと約16nmの間であり得る。他の実施形態においては、ラインの幅と間隔は、約10nm未満であっても良く、約16nmより大きくてもよい。幾つかの実施形態においては、潜像線155の長さは、当該潜像線155の幅の約150%の大きさであり得る。他の実施形態においては、潜像線155の長さは、例えば、当該潜像線155の幅の約1000%よりも大きいというように、当該潜像線155の幅の約200%よりも大きくてよい。
電磁放射は概して、フォトレジスト層150を露光させるのに適した波長を有している。例えば、電磁放射は、約10nmと約124nmの間といった、超紫外線(EUV)の範囲の波長を有し得る。他の実施形態においては、電磁放射は、フッ化アルゴンレーザによって生成され得る。こうした実施形態においては、電磁放射は、約193nmの波長を有し得る。幾つかの実施形態においては、波長は248nmであり得る。他の実施形態においては、異なる波長が使用され得る。幾つかの実施形態においては、電磁放射は、電子ビーム又はイオンビームからである。
段階340では、基板140は、露光後ベークプロセスで加熱される。1つの実施形態では、段階330完了後に、基板140は、処理チャンバに移送される。幾つかの実施形態において、処理チャンバは、処理チャンバ100であり得る。処理システム400を使用する実施形態においては、基板140は、露光チャンバ430から、移送チャンバ415を経由して処理チャンバ100へと移送され得る。基板140は、基板支持体アセンブリ138の第1の表面134上に配置され得る。基板140を加熱するため、電源174は、埋め込み式ヒータ132に電力を供給し得る。埋め込み式ヒータ132は、基板140及びフォトレジスト層150を迅速に加熱し得る。例えば、埋め込み式ヒータ132は、フォトレジスト層150の温度を、外気温から、例えば約90℃と約140℃の間といった、約70℃と約160℃の間の温度まで、約2秒未満で上昇させ得る。基板140及びフォトレジスト層150の温度は、段階340中に、約90℃から140℃の間といった、約70℃から約160℃の間に維持され得る。他の実施形態においては、基板140は、抵抗ヒータ、加熱ランプ、レーザ又は他の熱源によって加熱され得る。更に他の実施形態においては、基板140は、埋め込み式ヒータ132、抵抗ヒータ、加熱ランプ、レーザ、及び他の熱源のうちの複数によって加熱され得る。幾つかの実施形態においては、電源装置170及び電源装置175はまた、基板140を加熱し得る。
加熱ランプ、レーザ、又は他の熱源はまた、アンテナ220及びアンテナ221を加熱しうる。例えば、加熱ランプ、レーザ、又は他の熱源は、段階340中に、約90℃から約140℃の間といった、約70℃から約160℃の間まで、アンテナ220及びアンテナ221を加熱し得る。幾つかの実施形態においては、アンテナ220及びアンテナ221の温度は、基板支持体アセンブリ138の温度とほぼ一致するように制御される。基板支持体アセンブリ138の温度をアンテナ220及びアンテナ221の温度に一致させることにより、段階340中に、フォトレジスト層150の温度のより均一な制御が可能になる。露光後ベークの間、フォトレジスト層150内の光酸発生剤は、フォトレジスト層150の露光された部分の化学的特性を変化させ続け得る。
オプションで段階340の間、処理チャンバ100の圧力は、真空源によって低下し得る。処理空間112は、例えば、ポンピングポート114を通して処理空間112に連結された真空ポンプによって、低減され得る。幾つかの実施形態においては、処理チャンバ100の圧力は、少なくとも10−5Torrにまで低下し得る。例えば、圧力は、約10−6Torrから約10−8Torrの間まで低下し得る。圧力の低下により、処理中にアーク放電が低減又は除去され得る。幾つかの実施形態においては、処理チャンバ100の圧力は、低下しない。圧力を低下させないことにより、熱源からの熱が、基板140により容易に移送され得る。幾つかの実施形態においては、処理空間112の圧力は、大気圧から10−5Torrの間の圧力まで低下する。
段階340中に、潜像線155の方向に光酸発生剤により形成された荷電種255を駆動するために、場がフォトレジスト層150に印加される。場は、電場であってもよく、又は電場及び磁場の両方であってもよい。電場は、例えば、電極アセンブリ116によって、フォトレジスト層150に印加され得る。幾つかの実施形態では、電極アセンブリ116及びフォトレジスト層150は、電極アセンブリ116が電場を生成する間、互いに対して静止したままである。他の実施形態では、電極アセンブリ116及びフォトレジスト層150は、電極アセンブリ116が電場を生成する間、互いに対して移動する。相対運動により、例えば、電場が基板140の表面をスキャンすることができるようになる。以下で検討されるように、電場で基板140の表面をスキャンすることにより、より高いスループット、及び基板140に対する電場印加のより精密な制御が可能になり得る。またスキャンすることにより、基板140へのより均一な電場の印加が可能になり、これにより均一なラインエッジラフネスの低減が可能になる。
幾つかの実施形態においては、基板140と電極アセンブリ116との距離は、調節されてもよい。例えば、図1に示された実施形態では、距離dは、アクチュエータ190により、又は基板支持体アセンブリ138に連結されたリフトシステムよりのどちらかで調節され得る。アクチュエータ190は、電極アセンブリ116を基板140に向かって移動させ得る。追加的に又は代替的に、基板支持体アセンブリ138に連結されたリフトシステムは、基板140を電極アセンブリ116に向かって移動させ得る。幾つかの実施形態においては、距離dは、約0.1mmから約100mmの間である。例えば、距離dは、約8mmから約14mmの間であり得る。他の実施形態では、距離dは、約0.1mmを下回る、又は約100mmを上回る。距離dを調節することによって、荷電種255に印加された電場の強度を調節することが可能になる。距離dが短くなれば、より強力な電場が荷電種255に印加される。
電極アセンブリ116及びフォトレジスト層150が互いに対して静止状態を維持する実施形態では、電極アセンブリ116は、フォトレジスト層150の横寸法より大きいかほぼ同じ横寸法を有し得る。他の実施形態では、電極アセンブリ116の横寸法は、フォトレジスト層150の横寸法より小さくてもよい。電極アセンブリ116の横寸法がフォトレジスト層150の横寸法より小さい1つの実施形態では、電極アセンブリ116は、フォトレジスト層150の特定の領域にわたり位置付けられ得る。例えば、フォトレジスト層150の特定の領域は、段階330中に潜像線155の少なくとも幾つかが移送される所であり得る。
電極アセンブリ116は、潜像線155の長さに沿った方向に電場を生成し得る。要するに、電極アセンブリ116は、潜像線155に平行な電場を生成し得る。生成された電場は、約0.5MV/mから約10MV/mの間といった、約0.1MV/mから約100MV/mの間の場強度を有し得る。電場は、例えば、電源装置170、電源装置175、及び/又は電源装置174によって、生成され得る。一又は複数の電源装置によって供給された電力は、図1に関して先ほど記載された特性を有し得る。先述の電力条件により、潜像線155に直角な拡散を約5nm未満に限定しつつ、荷電種255が約10nmから約50nmの間のような潜像線155の方向に拡散することが可能になり得る。幾つかの実施形態においては、直角の拡散が約5nmであるのに対して、潜像線155の方向への拡散は、約10nmを下回り又は約50nmを上回り得る。幾つかの実施形態においては、パルスDC電力条件により、電場は、極性の切り替え前に、約10nmから約50nmの間で荷電種255を移動させるほど十分に長く荷電種255に作用することが可能になる。他の実施形態では、荷電種は、極性切り替え前に、約10nmを下回り又は約50nmを上回り移動し得る。幾つかの実施形態においては、電力条件により、約5:1を上回る潜像線155の方向にほぼ直角な方向の荷電種255の拡散に対する潜像線155の方向にほぼ沿って、荷電種255の拡散比率が提供される。例えば、比率は、約20:1を上回るなど、約10:1を上回ってもよい。幾つかの実施形態では、比率は、約5:1から約20:1の間である。他の実施形態では、比率は、約10:1から約20:1の間である。
電源装置170、電源装置174、及び/又は電源装置175は、暫くの間、第1の端子210及び/又は第2の端子211に電圧を印加し続け得る。例えば、電源装置は、約60秒など、約45秒から約90秒の間といった、約30秒から約180秒の間、電圧を供給し続け得る。他の実施形態では、電圧は、30秒未満又は180秒を上回る間、印加され得る。電圧が印加される時間の長さは、フォトレジスト層150の寸法、電極アセンブリ116、フォトレジスト層150に包含される光酸発生剤の量、電場強度、及び他の変数次第で決まり得る。第1の端子210、第2の端子211、又は基板支持体アセンブリ138が電力供給されない場合、それぞれの構成要素は、接地に接続され得る。
フォトレジスト層150に印加された電場の強度は、幾つかの変数を変えることにより修正することができる。例えば、電場強度は、第1の端子210及び/又は第2の端子211に印加された電圧の量により修正することができる。幾つかの実施形態においては、電場強度はまた、電極アセンブリ116とフォトレジスト層150との間の距離dを変えることによって制御され得る。電場強度はまた、電極アセンブリ116の寸法によって修正され得る。例えば、寸法A、B、及びCは、フォトレジスト層150で電場の強度に影響を与え得る。
電極アセンブリ116及びフォトレジスト層150が互いに対して移動する実施形態においては、電極アセンブリ116及びフォトレジスト層150は、同一の横寸法、ほぼ類似の横寸法、又は異なる横寸法を有し得る。1つの実施形態では、x寸法といった横寸法の1つは、フォトレジスト層150及び/又は基板140のx寸法と少なくともほぼ同じサイズである。そのような実施形態では、電極アセンブリ116は、y寸法のフォトレジスト層及び/又は基板140より小さな、y寸法といった別の横寸法のサイズを有し得る。そのような実施形態では、電極アセンブリ116とフォトレジスト層150との間の相対運動は、電極アセンブリ116のx寸法がフォトレジスト層150のy寸法に沿って相対的に移動するようなものであり得る。相対運動は、フォトレジスト層150の表面の全体又は一部の上方をスキャンする電極アセンブリ116を生じさせ得る。スキャンすることによって、より高いスループット、及びフォトレジスト層150への電場印加のより精密な制御が可能になり得る。例えば、幾つかの実施形態においては、フォトレジスト層150に移送されるパターンは、第1の場所において第1の組の潜像線155、及び第2の場所において第2の組の潜像線155を含み得る。第1の組の潜像線155及び第2の組の潜像線155は、異なる方向に配向され得る。スキャンすることによって、電場は、第1の組の潜像線155に平行になるように、電極アセンブリ116によって、第1の組の潜像線155に印加することが可能になる。その後、アクチュエータ190は、電極アセンブリ116を第2の場所に移動させ、電極アセンブリによって生成された電場が第2の組の潜像線155に平行になるように、電極アセンブリ116を配向し得る。
電極アセンブリ116がフォトレジスト層150より小さい別の実施形態においては、電極アセンブリ116の両横寸法が、フォトレジスト層150の横寸法より小さい。これらの実施形態においては、電極アセンブリ116は、フォトレジスト層150の表面の全体又は一部をスキャンし得る。電極アセンブリ116の両横寸法がフォトレジスト層150の横寸法より小さい幾つかの実施形態においては、電極アセンブリ116は、基板140上のダイの横寸法にほぼ類似するようにサイズ決定された横寸法を有し得る。これらの実施形態では、電極アセンブリ116は、順次、基板140上の各ダイに又はダイの幾つかのみに電場を印加し得る。
1つの実施形態では、アクチュエータ190は、フォトレジスト層150と電極アセンブリ116との間に相対運動を提供し得る。他の実施形態では、基板支持体アセンブリ138は、フォトレジスト層150と電極アセンブリ116との間に相対運動を提供するように構成され得る。更に他の実施形態では、アクチュエータ190及び可動式基板支持体アセンブリ138の両方が、相対運動を実施し得る。他の実施形態では、基板140は、コンベヤシステム上に位置付けられ得、コンベヤシステムは、一又は複数の電極アセンブリ116に対して基板140を移動させ得る。電極アセンブリ116とフォトレジスト層150との間の相対運動は、約500mm/secなど、約10mm/secから約100mm/secの間といった、約1mm/secから約1000mm/secの間の速度を有し得る。相対運動の速度は、フォトレジスト層150が、ラインエッジラフネス/ライン幅ラフネスを所望のレベルまで低減するのに十分長い時間、確実に電場に露光されるように調節され得る。速度は、光酸発生剤の濃度及び固有性、並びにフォトレジスト樹脂の組成物を含む、幾つかの変数によって決定され得る。
電極アセンブリ116とフォトレジスト層150との間の相対運動を含むいくつかの実施形態においては、距離d(z方向)は、経時的に変化する。スキャン中にdが変わると、電場強度も変わり、したがって、フォトレジスト層150の特性が調節される。本明細書に記載の相対運動を含む他の実施形態においては、基板支持体アセンブリ138は、電極アセンブリ116に対して回転する。例えば、基板支持体アセンブリ138は、z軸の周囲を回転し得る。基板支持体アセンブリ138は、連続的に若しくは恒常的に回転するように構成され得、又は、段階的に回転するように構成され得る。例えば、基板支持体アセンブリ138は、90°、180°、又は270°といった既定の量で回転し得、既定の量の時間だけ停止し得る。他の実施形態においては、電極アセンブリ116は、基板支持体アセンブリ138に対して回転する。電極アセンブリ116は、基板支持体アセンブリ138と同じように回転し得る。
相対運動を含む実施形態において、電場はまた、電源装置170、電源装置174、及び電源装置175の何れか又はすべてによって生成され得る。印加電圧及び電力の特性は、相対運動を含む実施形態において、相対運動を含まない実施形態と同じであり得る。スキャニングの実施形態では、電力は、約90秒など、約45秒から約180秒の間といった、約30秒から約360秒の間、印加され得る。電圧が印加される時間の長さは、フォトレジスト層150の寸法、電極アセンブリ116、フォトレジスト層150に包含される光酸発生剤の量、電場強度、相対運動の速度、及び他の変数次第で決まり得る。基板140と電極アセンブリ116との間の相対運動を含む実施形態では、電場が印加される間のみ、電場が印加されない間のみ、又は電場が印加される間と電場が印加されない間の両方で、相対運動が発生し得る。例えば、相対運動が基板支持体アセンブリ138の回転によって引き起こされる実施形態では、電場は回転中に印加され得、電場は回転中に印加され得ず、又は電場は基板支持体アセンブリ138が回転している間及び回転していない間の両方で印加され得る。
幾つかの実施形態においては、潜像線155の方向に荷電種255を駆動するために、磁場が生成される。磁石196などの磁石は、潜像線155に直角又は平行な方向に磁場を印加し得、その結果、ラインエッジラフネス/ライン幅ラフネスが低減した線を生じさせる。磁石196は、例えば、永久磁石又は電磁石であり得る。他の実施形態においては、磁石196は、処理チャンバ100の中のどこか他の場所、又は処理チャンバ100の外部に位置付けられ得る。フォトレジスト層150における磁場強度は、約1テスラ(T)から約5Tの間といった、約0.1Tから約10Tの間であり得る。磁場を含む実施形態では、磁石196は、フォトレジスト層150及び基板140に対して静止状態を維持しても移動してもよい。
幾つかの実施形態においては、電極アセンブリ116は、電場を生成し、磁石196は、磁場を生成する。電場は、潜像線155の方向に形成され得、磁場は、潜像線155に直角な方向に形成され得る。磁場と電場を含む実施形態においては、磁場は、電場に直角であり得る。
オプションの段階350では、フォトレジストが現像される。1つの実施形態では、段階340完了後に、基板140は、現像チャンバに移送される。処理システム400を使用する実施形態においては、基板140は、処理チャンバ100から、移送チャンバ405を経由して現像チャンバ440へと移送され得る。現像チャンバ440はまた、一又は複数の電源及び/又はアクチュエータ190に連結された電極アセンブリ116並びに磁石196を含み得る。コーティングチャンバ420に関連して記載されるように、基板140は、電極アセンブリ116及び磁石196に対して、現像チャンバ440の中に位置付けられ得る。
段階350において、フォトレジスト層150は、例えば、フォトレジスト層150を水酸化ナトリウム溶液、テトラメチルアンモニウムヒドロキシド水溶液、キシレン、又はストダード溶剤といった現像液に曝すことによって、現像され得る。基板140は、例えば、水又は酢酸n−ブチルによってリンスされ得る。段階350によって、潜像線155は、もはや潜在的でなくてよい。基板140上の線155は、従来の技法に比べると、ラインエッジラフネス/ライン幅ラフネスがより少なくなるだろう。
オプションの段階360では、追加の後処理ステップが実行され得る。追加の後処理ステップは、例えば、後処理チャンバの中で実行され得る。処理システム400を使用する実施形態においては、基板140は、後処理のため、現像チャンバ440から、移送チャンバ405を経由して後処理チャンバ450へと移送され得る。例えば、リンスの後、基板140はハードベークされ検査され得る。検査の後、基板140にはエッチングプロセスが実施され得る。パターンを層145に転写するために、エッチングプロセスでは、線155といった、フォトレジスト層150の特徴が使用される。
上記の実施形態は、以下を含む多数の利点を有している。例えば、本明細書で開示された実施形態は、ラインエッジラフネス/ライン幅ラフネスを低減又は除去し得る。加えて、本明細書で開示された実施形態は、新たな製造処理フローを可能にし得る。上記の利点は、例示的であり、限定的ではない。全ての実施形態が、全ての利点を有する必要はない。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく本開示の他の及び更なる実施形態を考案することができ、本開示の範囲は、以下の特許請求の範囲によって定められる。

Claims (12)

  1. 基板をフォトリソグラフィ処理するための装置であって、
    表面で基板を支持する基板支持体と、
    前記基板支持体の表面に配置された基板を加熱するように構成された熱源と、
    前記基板支持体の表面の反対側に配置さた電極アセンブリであって、
    第1の電極及び第2の電極を備え
    前記第1の電極が一又は複数のアンテナを備え、
    前記第2の電極が一又は複数のアンテナを備え、
    前記第1の電極の少なくとも1つのアンテナ及び前記第2の電極の少なくとも1つのアンテナが交互配置され、
    前記基板支持体の表面にほぼ平行な方向に電場を生成するように構成された電極アセンブリと、
    前記電場にほぼ垂直かつ前記基板支持体の前記表面に平行な方向に磁場を生成するように構成された磁場源と
    を備え
    さらに、
    フォトリソグラフィ処理中に基板内に発生する酸の拡散を、前記電極アセンブリによる電場及び/または前記磁場源による磁場によって制御する酸拡散制御手段を備える装置。
  2. 前記第1の電極の前記一又は複数のアンテナが、第1の支持体構造から突出しており、前記第1の電極の各アンテナが、前記第1の電極の他の各アンテナにほぼ平行であり、
    前記第2の電極の前記一又は複数のアンテナが、第2の支持体構造から突出しており、前記第2の電極の各アンテナが、前記第2の電極の他の各アンテナにほぼ平行であり、
    前記第1の電極の各アンテナが、前記第2の電極の各アンテナにほぼ平行である、請求項1に記載の装置。
  3. 前記第1の電極の隣接する各アンテナ間の距離がほぼ同一であり、前記第2の電極の隣接する各アンテナ間の距離がほぼ同一であり、前記第1の電極の隣接する各アンテナと前記第2の電極の隣接する各アンテナとの間の距離がほぼ同一である、請求項2に記載の装置。
  4. 前記第1の電極及び前記第2の電極の各々が、約4から約40の間のアンテナを有している、請求項3に記載の装置。
  5. 前記基板支持体の上に配置された基板と前記電極アセンブリとの間に相対運動を提供するように構成されている、請求項4に記載の装置。
  6. 前記基板支持体と電極アセンブリが、真空チャンバの中に配置されている、請求項4に記載の装置。
  7. 前記磁場源が、前記基板支持体の前記表面の上方に約0.1Tから約10Tの間の磁場強度を提供する、請求項1に記載の装置。
  8. 前記第1の電極の前記一又は複数のアンテナが、第1の支持体構造から突出しており、前記第1の電極の各アンテナが、前記第1の電極の他の各アンテナにほぼ平行であり、
    前記第2の電極の前記一又は複数のアンテナが、第2の支持体構造から突出しており、前記第2の電極の各アンテナが、前記第2の電極の他の各アンテナにほぼ平行であり、
    前記第1の電極の各アンテナが、前記第2の電極の各アンテナにほぼ平行であり、
    前記第1の電極の隣接する各アンテナ間の距離が、ほぼ同一であり、前記第2の電極の隣接する各アンテナ間の距離が、ほぼ同一であり、前記第1の電極の隣接する各アンテナと前記第2の電極の隣接する各アンテナとの間の距離が、ほぼ同一であり、
    前記第1の電極及び前記第2の電極の各々が、約4から約40の間のアンテナを有しており、
    前記基板支持体の上に配置された基板と前記電極アセンブリとの間に相対運動を提供するように構成されている、請求項1に記載の装置。
  9. 基板をフォトリソグラフィ処理するための装置であって、
    表面で基板を支持する基板支持体と、
    前記基板支持体の表面に配置された基板を加熱するように構成された熱源と、
    前記基板支持体の表面の反対側に配置さた電極アセンブリであって、
    第1の電極及び第2の電極を備え
    前記第1の電極が約4〜約40のアンテナを備え、前記第1の電極の少なくとも1つのアンテナが、第1の支持体構造から突出しており、前記第1の電極の各アンテナが、前記第1の電極の他の各アンテナにほぼ平行であり、
    前記第2の電極が約4〜約40のアンテナを備え、前記第2の電極の少なくとも1つのアンテナが、第2の支持体構造から突出しており、前記第2の電極の各アンテナが、前記第2の電極の他の各アンテナにほぼ平行であり、前記第1の電極の各アンテナが、前記第2の電極の各アンテナにほぼ平行であり、
    前記第1の電極の少なくとも1つのアンテナ及び前記第2の電極の少なくとも1つのアンテナが交互配置され、
    電極アセンブリが、前記基板支持体の前記表面にほぼ平行な方向に電場を生成するように構成されており、
    前記第1の電極の隣接する各アンテナ間の距離がほぼ同一であり、前記第2の電極の隣接する各アンテナ間の距離がほぼ同一であり、前記第1の電極の隣接する各アンテナと前記第2の電極の隣接する各アンテナとの間の距離がほぼ同一である、電極アセンブリと、
    前記電場にほぼ垂直かつ前記基板支持体の前記表面に平行な方向に磁場を生成するように構成された磁場源
    を備え
    さらに、
    フォトリソグラフィ処理中に基板内に発生する酸の拡散を、前記電極アセンブリによる電場及び/または前記磁場源による磁場によって制御する酸拡散制御手段を備える装置。
  10. 前記基板支持体の上に配置された基板と前記電極アセンブリとの間に相対運動を提供するように構成されている、請求項9に記載の装置。
  11. 前記基板支持体と電極アセンブリが、真空チャンバの中に配置されている、請求項9に記載の装置。
  12. 前記磁場源が、前記基板支持体の前記表面の上方に約0.1Tから約10Tの間の磁場強度を提供する、請求項9に記載の装置。
JP2016568851A 2014-06-10 2015-05-12 電場/磁場案内された酸拡散 Active JP6306747B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/301,184 2014-06-10
US14/301,184 US9377692B2 (en) 2014-06-10 2014-06-10 Electric/magnetic field guided acid diffusion
PCT/US2015/030396 WO2015191209A1 (en) 2014-06-10 2015-05-12 Electric/magnetic field guided acid diffusion

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018041599A Division JP6582081B2 (ja) 2014-06-10 2018-03-08 電場/磁場案内された酸拡散

Publications (2)

Publication Number Publication Date
JP2017525131A JP2017525131A (ja) 2017-08-31
JP6306747B2 true JP6306747B2 (ja) 2018-04-04

Family

ID=54769490

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016568851A Active JP6306747B2 (ja) 2014-06-10 2015-05-12 電場/磁場案内された酸拡散
JP2018041599A Active JP6582081B2 (ja) 2014-06-10 2018-03-08 電場/磁場案内された酸拡散

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018041599A Active JP6582081B2 (ja) 2014-06-10 2018-03-08 電場/磁場案内された酸拡散

Country Status (6)

Country Link
US (1) US9377692B2 (ja)
JP (2) JP6306747B2 (ja)
KR (2) KR102194191B1 (ja)
CN (1) CN106463355A (ja)
TW (2) TWI603164B (ja)
WO (1) WO2015191209A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9798240B2 (en) 2014-07-10 2017-10-24 Applied Materials, Inc. Controlling photo acid diffusion in lithography processes
US9733579B2 (en) * 2014-10-15 2017-08-15 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9823570B2 (en) 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US10203604B2 (en) * 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US10615058B2 (en) * 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
KR102443698B1 (ko) 2018-03-16 2022-09-15 삼성전자주식회사 집적회로 소자의 제조 방법
US11586113B2 (en) 2018-06-15 2023-02-21 Mattson Technology, Inc Methods and apparatus for post exposure bake processing of a workpiece
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
CN113196452A (zh) 2019-01-18 2021-07-30 应用材料公司 用于电场引导的光刻胶图案化工艺的膜结构
JP2022540650A (ja) * 2019-07-17 2022-09-16 アプライド マテリアルズ インコーポレイテッド 露光後処理のための方法及び装置
US20210041785A1 (en) * 2019-08-09 2021-02-11 Applied Materials, Inc. Process control of electric field guided photoresist baking process
EP4034944A4 (en) * 2019-09-23 2023-10-04 Applied Materials, Inc. LITHOGRAPHIC SIMULATION AND OPTICAL APPROACH CORRECTION
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US11429026B2 (en) * 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
WO2022173655A1 (en) * 2021-02-15 2022-08-18 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US20220390847A1 (en) * 2021-06-08 2022-12-08 Applied Materials, Inc. Metal oxide resist patterning with electrical field guided post-exposure bake

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106235A (ja) * 1993-10-08 1995-04-21 Matsushita Electric Ind Co Ltd パターン形成方法
CN1164122A (zh) * 1996-03-01 1997-11-05 株式会社日立制作所 等离子处理机及其处理方法
US5866303A (en) * 1997-10-15 1999-02-02 Kabushiki Kaisha Toshiba Resist developing method by magnetic field controlling, resist developing apparatus and method of fabricating semiconductor device
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6793177B2 (en) * 2002-11-04 2004-09-21 The Bonutti 2003 Trust-A Active drag and thrust modulation system and method
KR100515369B1 (ko) * 2003-10-02 2005-09-14 동부아남반도체 주식회사 전기장에 의하여 반도체 소자의 미세패턴을 형성하는노광장치 및 그 방법
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
GB2408383B (en) * 2003-10-28 2006-05-10 Ims Nanofabrication Gmbh Pattern-definition device for maskless particle-beam exposure apparatus
JP4209819B2 (ja) * 2004-07-15 2009-01-14 東京エレクトロン株式会社 基板加熱装置及び基板加熱方法
JP4364105B2 (ja) * 2004-11-08 2009-11-11 東京エレクトロン株式会社 熱処理装置、及び熱処理方法
US7780813B2 (en) 2005-06-09 2010-08-24 Alcatel-Lucent Usa Inc. Electric field mediated chemical reactors
US7972761B2 (en) 2006-08-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist materials and photolithography process
JP2007073685A (ja) * 2005-09-06 2007-03-22 Toshiba Corp レジストパターン形成方法及びベーク装置
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP2009064993A (ja) * 2007-09-07 2009-03-26 Kawai Musical Instr Mfg Co Ltd 溶液層の処理方法
NL1036912C2 (en) * 2009-04-29 2010-11-01 Mapper Lithography Ip Bv Charged particle optical system comprising an electrostatic deflector.
TWI497557B (zh) * 2009-04-29 2015-08-21 Mapper Lithography Ip Bv 包含靜電偏轉器的帶電粒子光學系統
US8471433B2 (en) 2009-10-14 2013-06-25 Panasonic Corporation Elastic wave device and electronic device using the same
JP2011138712A (ja) * 2009-12-28 2011-07-14 Kochi Univ Of Technology プラズマ発生源及びプラズマ発生装置並びに成膜装置、エッチング装置、アッシング装置、表面処理装置
KR20130141550A (ko) 2010-10-27 2013-12-26 어플라이드 머티어리얼스, 인코포레이티드 포토레지스트 선폭 거칠기를 조절하기 위한 방법들 및 장치
KR20120135701A (ko) 2011-06-07 2012-12-17 삼성전기주식회사 스핀코팅 장치 및 방법, 그리고 구조물을 갖는 기판의 제조방법
WO2012173699A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US20130334657A1 (en) 2012-06-15 2013-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Planar interdigitated capacitor structures and methods of forming the same
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process

Also Published As

Publication number Publication date
US20150355549A1 (en) 2015-12-10
JP2018164076A (ja) 2018-10-18
JP2017525131A (ja) 2017-08-31
KR20170013275A (ko) 2017-02-06
TW201546571A (zh) 2015-12-16
KR20170107592A (ko) 2017-09-25
KR101780407B1 (ko) 2017-09-21
KR102194191B1 (ko) 2020-12-22
TW201812481A (zh) 2018-04-01
TWI603164B (zh) 2017-10-21
WO2015191209A1 (en) 2015-12-17
JP6582081B2 (ja) 2019-09-25
TWI645263B (zh) 2018-12-21
US9377692B2 (en) 2016-06-28
CN106463355A (zh) 2017-02-22

Similar Documents

Publication Publication Date Title
JP6582081B2 (ja) 電場/磁場案内された酸拡散
JP7094336B2 (ja) フィールドガイドによる埋設式露光、及び露光後ベークプロセス
US10108093B2 (en) Controlling photo acid diffusion in lithography processes
US9280070B2 (en) Field guided exposure and post-exposure bake process
TWI830683B (zh) 用於電場引導的光阻劑圖案化製程的膜結構
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
US10048589B2 (en) Field guided post exposure bake application for photoresist microbridge defects
US9927709B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US9996006B2 (en) Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US20160109813A1 (en) Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
JP2023518754A (ja) フォトレジストパターニングのためのリソグラフィプロセスウインドウ強化

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170714

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170714

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20170714

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20170803

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180308

R150 Certificate of patent or registration of utility model

Ref document number: 6306747

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250