JP2023500629A - FinFET形成のためのキャップ酸化 - Google Patents

FinFET形成のためのキャップ酸化 Download PDF

Info

Publication number
JP2023500629A
JP2023500629A JP2022524578A JP2022524578A JP2023500629A JP 2023500629 A JP2023500629 A JP 2023500629A JP 2022524578 A JP2022524578 A JP 2022524578A JP 2022524578 A JP2022524578 A JP 2022524578A JP 2023500629 A JP2023500629 A JP 2023500629A
Authority
JP
Japan
Prior art keywords
substrate
forming
oxygen
silicon layer
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022524578A
Other languages
English (en)
Other versions
JP7397186B2 (ja
Inventor
スティーヴン シー. ハング,
ベンジャミン コロンボー,
アビシェーク デュベ,
ション-チン クン,
パトリシア エム. リウ,
マルコム ジェー. ベバン,
ヨハネス スウェンベルク,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023500629A publication Critical patent/JP2023500629A/ja
Application granted granted Critical
Publication of JP7397186B2 publication Critical patent/JP7397186B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • H01L29/78693Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate the semiconducting oxide being amorphous

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

処理方法が、高誘電率誘電材料を含み得る半導体構造を製造するために実行され得る。該方法は、半導体基板上にシリコン層を形成することを含んでよい。半導体基板は、シリコンゲルマニウムを含んでよい。該方法は、シリコン層の一部を半導体基板と接触した状態に維持しながら、シリコン層の一部分を酸化して犠牲酸化物を形成することを含んでよい。該方法は、犠牲酸化物を除去することを含んでよい。該方法は、半導体基板と接触するシリコン層の一部分を酸化して、酸素含有材料を形成することを含んでよい。該方法は、酸素含有材料の上に重ねて高誘電率誘電材料を形成することを含んでよい。【選択図】図2

Description

関連出願の相互参照
[0001] 本出願は、令和1年11月1日に出願された米国特許出願第62/929,332号の優先権の利益を主張し、その内容は、全ての目的のためにその全体が参照により本明細書に組み込まれる。
[0002] 本技術は、半導体システム、プロセス、及び装置に関する。特に、本技術は、ゲート構造における材料形成を強化するための処理に関する。
[0003] 論理ゲート性能は、構造層の厚さや面積のみならず、使用される材料の特性に関連している。しかし、デバイスのスケーリングに対応するために、幾つかのゲート特性が調整されるので課題が生じる。例えば、酸化ケイ素のゲート誘電体では、厚さが減少するにつれて静電容量が改善され、これにより、より高いチャネル移動度及びより高速なデバイス性能がもたらされ得る。しかし、厚さが減少し続けると、ゲートリークがデバイスに大きな影響を与え得、デバイス歩留まりの低下を引き起こす可能性がある。更に、厚さが減少した酸化物は、品質を低減させ、短絡を引き起こす可能性がある。ゲート誘電体には高誘電率材料が採用され、ゲートリークへの大きな影響を制限しながら有効酸化膜厚が低減されている。特定の高誘電率材料を最大化する努力は、高誘電率材料の形成に関連する形態の問題のために制限されてきた。
[0004] したがって、高誘電率材料の性能を最大化し、高品質のデバイス及び構造の生産を可能にするために使用することができる改良されたシステム及び方法が必要とされている。これらの必要性及びその他の必要性は、本技術によって対処される。
[0005] 処理方法が、高誘電率誘電材料を含み得る半導体構造を製造するために実行されてよい。該方法は、半導体基板の上にシリコン層を形成することを含んでよい。該半導体基板は、シリコンゲルマニウムを含んでよい。該方法は、シリコン層の一部分を半導体基板と接触した状態に維持しながら、シリコン層の一部分を酸化して犠牲酸化物を形成することを含んでよい。該方法は、犠牲酸化物を除去することを含んでよい。該方法は、半導体基板と接触するシリコン層の一部分を酸化して、酸素含有材料を形成することを含んでよい。該方法は、酸素含有材料の上に重ねて高誘電率誘電材料を形成することを含んでよい。
[0006] 幾つかの実施形態では、除去が、インシトゥ乾燥化学プロセスを含んでい。除去は、第1の処理チャンバ内で行われてよく、該方法は、高誘電率誘電材料を形成する前に、半導体基板を第1の処理チャンバから第2の処理チャンバに移動させることを含んでよい。該方法は、半導体基板を大気に曝露することなく、1以上の処理チャンバ内で実行されてよい。シリコン層は、シリコンゲルマニウムの上にエピタキシャル形成されてよい。犠牲酸化物を形成することは、第1の酸化プロセスを含んでよく、半導体基板と接触するシリコン層の一部分を酸化することは、第1の酸化プロセスとは異なる第2の酸化プロセスを含んでよい。半導体基板と接触するシリコン層の一部分を酸化することは、窒素及び酸素含有前駆体を半導体基板に送達することを含んでよい。半導体基板と接触するシリコン層の一部分の酸化は、約750℃以下の温度で行われてよい。該方法はまた、高誘電率誘電材料を形成する前に、窒素含有前駆体又は酸素含有前駆体を用いて、酸素含有材料上に反応性配位子(ligand:リガンド)を導入することも含んでよい。窒素含有前駆体は、アンモニアであってよく又はアンモニアを含んでよい。高誘電率誘電材料は、ハフニウム、ジルコニウム、シリコン、ランタン、アルミニウム、チタン、又はストロンチウムを含む群から選択される少なくとも1つの元素であってよく又はそれらを含んでよい。
[0007] 本技術の幾つかの実施形態は、半導体構造を形成する方法を含んでよい。該方法は、半導体処理チャンバ内に収容された基板の表面から酸化物を除去することを含んでよい。該基板は、シリコンゲルマニウムフィンを含んでよい。該方法は、基板の表面の上にシリコン層を形成することを含んでよい。該方法は、シリコン層の一部分を半導体基板と接触した状態に維持しながら、シリコン層の一部分を酸化して犠牲酸化物を形成することを含んでよい。該方法は、犠牲酸化物を除去することを含んでよい。該方法は、亜酸化窒素を基板に送達して、酸素含有材料を形成することを含んでよい。該方法は、基板を窒素含有前駆体と接触させることによって、酸素含有材料を前処理することを含んでよい。該方法は、前処理された酸素含有材料の上に重ねて高誘電率誘電材料を形成することを含んでよい。
[0008] 幾つかの実施形態では、除去することが、インシトゥ乾燥化学プロセスを含んでよい。除去することは、第1の処理チャンバ内で行われてよく、該方法は、高誘電率誘電材料を形成する前に、半導体基板を第1の処理チャンバから第2の処理チャンバに移動させることを含んでよい。犠牲酸化物を形成することは、亜酸化窒素を基板に送達して酸素含有材料を形成することを含んでよい。犠牲酸化物を形成することは、酸素含有前駆体及び水素含有前駆体を基板に送達して、酸素含有材料を形成することを含んでよい。亜酸化窒素を基板に送達して酸素含有材料を形成することは、約750℃以下の温度で行われてよい。酸素含有材料を前処理することは、酸素含有材料上に反応性配位子を形成してよい。該方法はまた、高誘電率誘電材料を後処理することも含んでよい。
[0009] 本技術の幾つかの実施形態は、半導体構造を形成する方法を含んでよい。該方法は、半導体処理チャンバ内に収容された基板の表面から自然酸化物を除去することを含んでよい。基板は、シリコンゲルマニウムであってよく又はそれを含んでよい。該方法は、基板の表面の上にシリコン層を形成することを含んでよい。該方法は、シリコン層の一部分を半導体基板と接触した状態に維持しながら、シリコン層の一部分を酸化して犠牲酸化物を形成することを含んでよい。該方法は、犠牲酸化物を除去することを含んでよい。該方法は、基板と接触するシリコン層の一部分を酸化して、酸素含有材料を形成することを含んでよい。該方法は、酸素含有材料の上に重ねて高誘電率誘電材料を形成することを含んでよい。
[0010] このような技術は、従来のシステム及び技法よりも多数の利点を提供し得る。例えば、該プロセスは、ゲート酸化物のための高品質の酸化物層を生成することができる。更に、ゲート酸化物の生成により、下層への拡張された酸化を制限することができる。これらの実施形態及びその他の実施形態は、その多くの利点や特徴と共に、後述の記載及び添付の図面により詳細に説明されている。
[0011] 本明細書の後述及び下記の図面を参照することにより、本開示の技術の性質や利点は更に理解され得る。
[0012] 本技術の実施形態による、例示的な処理システムの上面図を示す。 [0013] 本技術の実施形態による、半導体構造を形成する方法における選択された動作を示す。 [0014] 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。 図3A~図3Iは、本技術の実施形態による例示的な基板の概略断面図を示す。
[0015] 幾つかの図面は、概略図として含まれている。図面は例示を目的としており、縮尺通りであると明記されていない限り、縮尺通りであるとみなしてはならないと理解するべきである。更に、概略図として、図面は、理解を助けるために提供されており、現実的な描写に比べて全ての態様又は情報を含まない場合があり、例示を目的として強調された素材を含むことがある。
[0016] 添付図面では、類似の構成要素及び/又は特徴は、同一の参照符号を有し得る。更に、同じ種類の様々な構成要素は、類似の構成要素間を区別する文字により、参照符号に従って区別することができる。本明細書において第1の参照符号のみが使用される場合、その記載は、文字に関わりなく、同じ第1の参照符号を有する類似の構成要素のうちの何れにも適用可能である。
[0017] 論理ゲート構造がより小さな寸法に縮小されるにつれて、改良を提供するために新しい材料構造が求められている。高誘電率誘電体の使用によって、酸化ケイ素のような材料を利用する従来のゲートスタックよりもゲートスタックの誘電率が増加する。しかし、酸化ケイ素と同様に、材料の厚さが薄くなると、ゲートリークが増加する。例えば、ゲートリークは、有効酸化膜厚が減少するにつれて増加する。したがって、ゲートリークと有効酸化膜厚との間の相反する関係が、トランジスタ及び生成されるデバイスの性能に限界をもたらす可能性がある。
[0018] FinFET構造では、フィンを覆うゲート酸化膜が、幾つかの機能を果たすことができる。例えば、ゲート酸化物は、ゲートの下方に導電性チャネル領域を形成してよい。より低品質の酸化物からのようなゲート酸化物内の欠陥又は孔は、短絡を生じさせ得、構造に損傷を与える可能性がある。更に、ゲート酸化物は、デバイスのPFET又はP-MOS領域におけるゲルマニウム拡散をブロックし得る。従来の技術は、しばしば、他の酸化方法と共に、ケモックス(chemox)のような湿式酸化技術を使用してゲート酸化物を形成する。従来の技術は、より低品質の酸化物層を生成し、十分に制御されないことがあり、フィンを過酸化することがある。これは、熱応力又は電気応力の下で故障する可能性がより高い、あまり堅牢でない酸化ゲルマニウム材料を生成し得る。本技術は、規定されたシリコン含有材料から制御されたゲート酸化物層を形成することにより、これらの問題を克服する。これらのゲート酸化物層は、SiGeフィン材料の過酸化を制限し得、従来の技術よりも改善された電気的及び熱的性能を提供し得る。
[0019] 残りの開示は、開示される技術を利用する特定の堆積及び処理プロセスを規定通りに特定するが、それらのシステム及び方法は、説明されるチャンバ内で起こり得るような様々な他のプロセスに等しく適用可能であることが容易に理解されるであろう。したがって、本技術は、説明される処理及び堆積プロセス単独で使用されるように限定されると考えられるべきではない。本開示は、本技術による例示的なプロセスシーケンスの動作を説明する前に、堆積又は処理動作の特定の要素を実行するために、本技術と共に使用することができる1つの可能なシステムを説明する。当然のことながら、本技術は、説明される装置に限定されず、説明されるプロセスは、任意の数の処理チャンバ及びシステムで実行されてよい。
[0020] 図1は、実施形態による、堆積チャンバ、エッチングチャンバ、ベーキングチャンバ、及び硬化チャンバの処理システム100の一実施形態の上面図を示している。図1で描かれているツール又は処理システム100は、複数のプロセスチャンバ114A~D、移送チャンバ110、サービスチャンバ116、統合計測チャンバ117、及び一対のロードロックチャンバ106A~Bを含んでよい。プロセスチャンバは、任意の数の構造又は構成要素、ならびに任意の数又は組み合わせの処理チャンバを含んでよい。システム100は、以下で説明されるプロセスを実行するためにチャンバが組み込まれてよいツールを限定することを意図していないことを理解されたい。本技術の幾つかの実施形態によれば、任意の数のチャンバを含む任意のツールを使用されてもよい。
[0021] チャンバの間で基板を搬送するために、移送チャンバ110は、ロボット搬送機構113を含んでよい。搬送機構113は、延伸可能アーム113Bの遠位端にそれぞれ取り付けられた、一対の基板搬送ブレード113Aを有してよい。ブレード113Aは、プロセスチャンバとの間で個々の基板を運搬するために使用されてよい。動作では、搬送機構113のブレード113Aのような基板搬送ブレードのうちの1つが、チャンバ106A~Bようなロードロックチャンバのうちの1つから基板Wを回収してよく、基板Wを処理の第1段階、例えばチャンバ114A~D内での後述する処理プロセスに運んでよい。チャンバは、説明される技術の個々の又は組み合わされた動作を実行するために含まれてよい。例えば、1以上のチャンバは、堆積又は形成動作を実行するように構成されてよいが、1以上の他のチャンバは、説明される前処理動作及び/又は後処理動作のうちの1以上を実行するように構成されてよい。任意の数の構成が、本技術によって包含され、それらは、半導体処理において典型的に実行される任意の数の更なる製造動作を実行してもよい。
[0022] チャンバが占有されている場合、ロボットは、処理が完了するまで待機してから、1つのブレード113Aを使って処理済みの基板をチャンバから取り出し、2つ目のブレード(図示せず)を使って新しい基板を挿入してよい。基板が処理されると、次いで、基板は処理の第2の段階に移動されてよい。各移動では、搬送機構113が、基板の交換を行うために、概して1つのブレードで基板を運搬し、もう1つのブレードは空であってよい。交換が完了するまで、搬送機構113は各チャンバにて待機してよい。
[0023] プロセスチャンバ内で処理が完了すると、搬送機構113は、最後のプロセスチャンバから基板Wを移動させてよく、ロードロックチャンバ106A~B内のカセットに基板Wを搬送してよい。ロードロックチャンバ106A~Bから、基板はファクトリインターフェース104の中に移動されてよい。ファクトリインターフェース104は、概して、大気圧でクリーンな環境にあるポッドローダー105A~Dと、ロードロックチャンバ106A~Bとの間で、基板を移動させるように動作してよい。ファクトリインターフェース104内のクリーンな環境は、概して、例えばHEPA濾過などの空気濾過プロセスを通じて提供されてよい。ファクトリインターフェース104はまた、処理に先立って基板を適切に整列させるのに使用され得る、基板配向器/整列器(図示せず)も含んでよい。ファクトリインターフェース104内の様々な位置や場所の間で、またそれらと連通している他の場所へと、基板を搬送するために、ファクトリインターフェース104内に、ロボット108A~Bなどの少なくとも1つの基板ロボットが配置されてよい。ロボット108A~Bは、ファクトリインターフェース104の第1の端部から第2の端部へ、ファクトリインターフェース104内の軌道システムに沿って移動するように構成されてよい。
[0024] 処理システム100は、制御信号を提供するための統合計測チャンバ117を更に含んでよい。統合計測チャンバ117は、処理チャンバ内で実行されているプロセスのうちのいずれかに対して適応制御を提供してよい。統合計測チャンバ117は、厚さ、粗さ、組成といった様々な膜特性を測定するための、様々な計測デバイスのうちの任意のものを含んでよく、その計測デバイスは更に、減圧下で自動化されたやり方によって、限界寸法、側壁角度、及び特徴の高さといった格子パラメータを特徴付けることが可能であり得る。
[0025] 各処理チャンバ114A~Dは、半導体構造の製造における1以上のプロセスステップを実行するように構成されてよく、任意の数の処理チャンバ及び処理チャンバの組み合わせが、マルチチャンバ処理システム100で使用されてよい。例えば、処理チャンバのいずれかは、周期的層堆積、原子層堆積、化学気相堆積、物理的気相堆積、ならびにエッチング、前洗浄、前処理、後処理、アニール、プラズマ処理、ガス脱き、配向、及び他の基板プロセスを含む、任意の数の堆積プロセスを含む、幾つかの基板処理動作を実行するように構成されてよい。チャンバのいずれかにおいて又はチャンバの任意の組み合わせにおいて実行され得る幾つかの特定のプロセスは、金属堆積、表面洗浄及び前処理、急速熱処理などの熱アニーリング、並びにプラズマ処理であってよい。当業者によって容易に理解されるように、以下で説明される任意のプロセスを含む、任意の他のプロセスが、マルチチャンバ処理システム100の中に組み込まれた特定のチャンバにおいて同様に実行されてよい。
[0026] 図2は、半導体構造を形成する方法200を示し、その動作は、例えば、前述のようなマルチチャンバ処理システム100に組み込まれた1以上のチャンバ、又は任意の他のマルチチャンバシステム内で実行されてよい。方法200は、述べられている方法の開始前に、フロントエンド処理、堆積、エッチング、研磨、洗浄、又は説明された動作の前に実行され得る任意の他の動作を含む、1以上の動作を含み得る。該方法は、本技術による方法に特に関連付けられてもよいし、又は関連付けられなくてもよい、図示されているような多数の任意選択的な動作を含んでよい。例えば、動作の多くは、より広い範囲の構造形成プロセスを提供するために説明されるが、本技術にとって重要ではなく、又は以下で更に説明されるように代替的な方法によって実行されてよい。方法200は、図3A~図3Iで概略的に示される動作を説明し、それらの図は、方法200の動作と併せて説明されることになる。図3は、部分概略図のみを示すものであり、基板は、図示されているような態様を有する任意の数のトランジスタセクション及び更なる材料を含んでよいことを理解されたい。
[0027] 方法200は、半導体構造を特定の製造動作に発展させるための任意選択的な動作を含んでよい。幾つかの実施形態では、方法200が、ベース構造上で実行されてよいが、幾つかの実施形態では、該方法が、他の材料形成後に実行されてよい。図3Aで示されているように、半導体構造は、特定の処理が完了した後のデバイス300を表してよい。例えば、基板305は、平坦な材料であってよく、又は構造化されたデバイスであってよく、これは、ポスト、トレンチ、又は本技術によって同様に包含されることが理解されるような他の構造として構成されるか、或いはそれらを画定する1種以上の材料を含んでよい。基板305は、シリコン、シリコンゲルマニウム、又はシリコンの酸化物、窒化物、及び炭化物などのシリコン含有材料を含む任意の数の材料、ならびに構造内に組み込まれてよい任意の他の材料を含んでよい。本技術によって包含される幾つかの実施形態では、基板305が、FinFET構造に関連し得るようなシリコンゲルマニウムフィンの一部分であってよく、P-MOS領域の一部分であってよい。方法200の前に、シリコンゲルマニウムの層を、シリコン基板又は他の基板上に形成してよく、フィン構造を形成するためにパターニングしてよい。フィンの周りに絶縁誘電体を形成してよく、フィンの上部を薄くするためにトリム動作が行われてよい。図面は特定の縮尺ではないことを理解されたい。
[0028] この処理の後で又は基板の転写の結果として、基板305は、図3Aで示されているように、シリコンゲルマニウムの表面上に自然酸化物310又は残留微粒子材料を含んでよい。幾つかの実施形態では、基板305の表面の露出された材料は、断続的なパターンを生成するために、エッチング、平坦化、又は他の方法で処理されてよい。単一のステップとして示されているが、デバイス300は、図示されている物体と同様な又は異なってよい任意の数の更なるセクションを含み得る、より大きなプロセス統合の小さなセクションを含んでよいことが理解される。例えば、N-MOS領域が、図示されている構造に隣接して配置されてよく、説明される方法と共に、又はそれとは別に実行される任意の量のパターニング若しくは動作を含んでよい。基板305は、半導体処理チャンバの処理領域内に収容又は配置されてよく、方法200は、高誘電率誘電材料などの半導体材料を基板上に生成するために実行されてよい。
[0029] 方法200は、動作205で、基板305から自然酸化物310を除去することを含んでよい。自然酸化物310の除去は、任意の数のプロセスによって行われてよい。例えば、酸化物を還元してシリコンゲルマニウムの比較的清浄な表面を確保することができる水素含有前駆体を利用することによって還元が行われてよい。更に、該プロセスは、フッ素含有前駆体及び水素含有前駆体を含み得る、プラズマプロセス又は処理を含んでよい。フッ素含有前駆体は、三フッ化窒素ならびに任意の他のフッ素含有前駆体であってよく、又はそれらを含んでよい。水素含有前駆体は、アミン基[-NH2]、又は他の窒素含有若しくは水素含有基によって特徴付けられてよい。例えば、水素含有前駆体は、非限定的な一例として、アンモニアなどの窒素及び水素含有前駆体であってよく、又はそれらを含んでよい。プラズマは、局所的に、又は基板処理領域と流体結合してよい遠隔プラズマ領域内で生成されてよい。フッ素含有前駆体の流量及び水素含有前駆体の流量は、1:2未満の水素対フッ素原子流量比を維持するように制御されてよい。酸化物310又は残留材料は、図3Bで示されているように、プラズマ流出物315によって除去されてよく、該プロセスは、エッチングプロセスから副生成物を除去するための熱処理を含んでもよい。
[0030] 動作205における自然酸化物の除去は、基板表面が大気又は酸素含有環境に曝露されなくてよいインシトゥ乾式化学プロセスによって行われてよい。方法200の幾つかの実施形態では、動作205における自然酸化物の除去が、第1の処理チャンバ内で実行されてよい。以下で説明されるように、方法200は、高誘電率誘電材料を形成する前に、基板を第1の処理チャンバから第2の処理チャンバに移動させることを含んでよい。方法200は、方法200の動作のために1以上のチャンバ間で基板を移動させている間に、システム100内の減圧を維持することなどによって、基板表面を大気又は空気に曝露させることなく、1以上の処理チャンバ内で動作を実行することを含んでよい。統合された減圧を維持することによって、表面汚染、ならびに望ましくない酸化物形成を有利に減少させることができる。移動は、単一のプラットフォーム上の1以上のチャンバ間で行われてよく、又は複数のプラットフォーム上のチャンバ間で行われてよい。しかし、単一のプラットフォームを利用することによって、酸素環境への基板の曝露の回避をより確実にすることができる。
[0031] 動作210では、シリコン含有材料が、前処理又は洗浄された基板表面上に形成又は堆積されてよい。例えば、図3Cで示されているように、シリコン、或いはドープされたシリコン、合金シリコン、又はシリコン・アンド・メタロイド若しくはシリコン・アンド・メタル材料などのシリコン含有材料の層を、任意の数の方法によって形成又は堆積させて、基板305の表面を覆う層320を形成することができる。幾つかの非限定的な実施形態では、シリコンゲルマニウムフィンの表面上にシリコンをエピタキシャル成長させてよい。エピタキシャル層は、任意の高さに形成されてよく、比較的高品質のシリコンが生成され得る。例えば、シリコン層320は、様々な実施形態において、数オングストローム又は数ナノメートルの高さに形成されてよい。
[0032] シリコン層を形成した後に、動作215において、層の一部分が酸化されてよい。この酸化は、任意の数のやり方で実行されてよいが、この酸化は、シリコン層を完全には貫通しなくてよい。酸化動作215は、シリコン層320の一部分を酸化ケイ素に変換することによって犠牲酸化物322を生成してよい。酸化動作215は、シリコン層320の一部分を半導体基板305と接触した状態に少なくとも部分的に維持しながら、シリコン層320の一部分を酸化してよい。例えば、制御された酸化を行って、シリコン含有層を通る酸化の延在を制限することができる。動作215は、インシトゥ水蒸気生成プロセスなどの、水蒸気を使用する熱ベースの反応を含んでよく、それによって、従来の熱技術と比較してより低い速度で酸化が生じる。更に、この酸化は、熱酸化プロセスとして、水素及び酸素ならびに更なる前駆体を一緒に利用してよい。例えば、幾つかの実施形態では、窒素及び酸素含有前駆体などの酸素含有前駆体が使用されてよい。例えば、亜酸化窒素、若しくは幾つかの他の窒素及び酸素含有前駆体、及び/又は例えば水素などの更なる前駆体を使用して、シリコン含有材料の一部分を酸化してよい。
[0033] 窒素は、酸素のためのキャリアとして働いてよく、界面又は基板の一部分にならなくてよい。プロセスはまた、ゆっくりと行われてもよく、それによって、より制御された酸化を生成してよく、基板305の表面に沿って特定の厚さのシリコンを維持するように制御されてよい。犠牲酸化物の形成後に、幾つかの他の製造動作が行われてよく、それは、基板上でのダミーゲート形成が続いて生じるダミーポリマスクを形成することを含む。処理が実行された後で、ゲート酸化物形成プロセスが行われてよい。
[0034] 動作220では、1以上の除去動作が実行されてよく、維持されたシリコン320を覆っている犠牲酸化物を除去する。図3Eで示されているように、維持されたシリコンは、シリコンゲルマニウム基板材料の上のカバーを維持するのに十分な厚さによって特徴付けられてよい。例えば、幾つかの実施形態では、シリコン層320が、約5nm以下に維持されてよく、約4nm以下、約3nm以下、約2nm以下、約1nm以下、約5Å以下、又はそれよりも下に維持されてよい。除去動作は、酸化物選択性エッチングなどの選択性エッチングを含んでよい。幾つかの実施形態では、フッ素含有前駆体及び水素含有前駆体などを用いて、プラズマエッチングプロセスが実行されてよい。除去動作は、三フッ化窒素及びアンモニアプラズマエッチングなどを利用することによって、上述の動作のいずれかを含んでよく、更なる熱処理又は昇華動作を含んでもよい。幾つかの実施形態では、更なる又は代替的なフッ素及び水素含有前駆体が使用されてもよい。動作220で酸化物選択エッチングプロセスを実行することによって、シリコンの下にある部分を維持するか、又は実質的に維持してよい。
[0035] シリコンキャップ材料が露出された後で、シリコンゲルマニウムフィンを覆っている材料の残りのシリコン層を酸化するために、第2の酸化動作が実行されてよい。動作225において、酸化ケイ素などの図3Fで示されているような酸素含有材料324を生成するために、以前の酸化動作のいずれかが実行されてよい。幾つかの実施形態では、残っているシリコン材料が、完全に酸化ケイ素に変換されてよく、残っているシリコン層が残らなくてよい。幾つかの実施形態では、この酸化が、上述したように亜酸化窒素及び水素を利用してよい。これは、シリコンゲルマニウム材料の過酸化を制限又は防止しながら、酸化がシリコン材料に実質的に限定されるように厳密に制御してよい。かかる熱酸化プロセスは、上述したように多くの利点を提供することができる。例えば、湿式酸化とは異なり、例えば、この酸化は、ゲルマニウム拡散を制限し得る高品質の酸化物を生成し得る。
[0036] 更に、湿式及び乾式酸化プロセスを含む幾つかの従来の酸化プロセスは、酸化ゲルマニウム材料を生成し得るシリコンゲルマニウムを過酸化し得る。酸化ゲルマニウムは、酸化ケイ素と比較して結合の安定性が低いことを特徴とし得、その結果、後続の動作によって酸化ゲルマニウム結合が破壊されることがある。これにより、形成される酸化膜が損傷したり、材料間の界面の品質が低下したりして、トランジスタの効率が制限されたり、又はデバイスが損傷したりする可能性がある。高品質の酸化物を形成することによって、後の動作中にも構造を有益に保護することができる。例えば、より低密度の酸化物を製造する従来のプロセスは、更なる製造動作で更に劣化する可能性がある。以下で説明されるように、更なる高誘電率誘電動作が、高温プロセスを含んでよい後続の製造と同様に実行されてよい。例えば、製造のある時点で、フラッシュアニールは最高1000℃まで実行されてよい。より低い品質又はより密度の低い酸化物では、これは、より多孔性の酸化物構造のために、更なるゲルマニウム拡散を促進し得る。本技術の幾つかの実施形態による、より高密度の熱酸化物プロセスは、その後の製造動作中の拡散に対抗する保護を提供し得る。本技術の実施形態による酸化の制御を維持することによって、特定の深さを有する高品質の酸化物材料を、上述の低減された厚さのいずれかで提供することができる。
[0037] 形成される酸素含有材料は、高品質であり、高度に秩序化され得、これは、欠陥のない又は実質的に欠陥のない結晶構造を意味する。これは、更なる材料がチャネル領域に近接してアクセスすることを防止し、したがって、漏れを防止することができる界面を提供してよい。結果として得られる酸素含有材料324は、二酸化ケイ素を含んでよい。形成される酸素含有材料324は、最大約5Åの厚さを有してよく、約5Å以上、約10Å以上、約15Å以上、約20Å以上、約25Å以上、約30Å以上、又はそれより上の厚さを有してよい。
[0038] 方法200は、任意の動作230において、前処理前駆体を基板に送達することを含んでよい。前処理前駆体は、窒素含有前駆体若しくは酸素含有前駆体であってよく、又はそれらを含んでよい。これらの前駆体は、基板と接触してよく、図3Gで配位子325として示されている、基板の露出表面上に反応性配位子を形成又は導入してよい。従来の技術とは異なり、本技術は、その後の動作において高誘電率誘電材料の規則的な成長を生成するように構成された前処理を利用してよい。
[0039] 前処理前駆体は、任意の窒素含有若しくは酸素含有前駆体であってよく、又はそれらを含んでよい。酸素含有前駆体は、ヒドロキシル基[-OH]によって特徴付けられてよく、これは、基板の酸素含有材料324の表面上に組み込まれてよい。窒素含有前駆体は、アミン基[-NH2]又は他の窒素含有基によって特徴付けられてよい。例えば、窒素含有前駆体は、非限定的な一例としてアンモニアなどの窒素及び水素含有前駆体、若しくは窒素及び酸素含有前駆体、又は窒素を含む任意の他の前駆体であってよく、或いはそれらを含んでよい。
[0040] 幾つかの実施形態では、表面末端が、ヒドロキシル基又はアミン基の末端表面であってよく、又はそれを含んでよい。次いで、方法200は、動作235において、酸素含有材料の上に重ねて高誘電率誘電材料を形成することを含んでよい。本技術は、高誘電率材料の任意の形成又は堆積を含んでよいが、幾つかの実施形態では、形成動作235が、任意の数の原子層堆積チャンバを利用してよい原子層堆積であってよく、又は原子層堆積を含んでよい。この形成は、実行される場合、基板又は酸素含有材料の表面を前処理した直後に実行されてよく、前処理と同じチャンバ内で、又はシステム100などの同じシステムに組み込まれた更なるチャンバなどの更なるチャンバ内で実行されてよい。幾つかの実施形態では、基板が前処理チャンバから堆積又は形成チャンバに移動されている間に、減圧状態が維持されてよく、基板の空気への曝露を制限してよい。
[0041] 高誘電率誘電材料を形成するために原子層堆積プロセスが実行される場合、金属含有前駆体が、前処理された表面と反応するために基板に送達されてよい。例えば、遷移金属含有前駆体、低金属含有前駆体、又はランタニド金属含有前駆体を処理チャンバに送達して、前処理によって基板上に露出した反応性配位子と相互作用させてよい。次いで、酸素含有前駆体が、金属含有前駆体の後続のパージなどの第2の動作で送達されてよい。これにより、図3Hで示されているような層330aなどの原子層堆積による酸化物層を生成してよい。非限定的な一例では、ハフニウム含有前駆体が、第1の動作で送達されてよく、酸化剤が、酸化ハフニウム膜を生成するための第2の動作で送達されてよい。更なる金属含有前駆体が、ジルコニウム含有材料を製造するためのジルコニウム含有前駆体、ならびに更なる金属酸化物構造を製造するための任意の他の数の金属含有前駆体を含み得る。ハフニウム含有前駆体では、任意の代替的な金属の場合と同様に、前駆体が、ハフニウムが組み込まれているハロゲン含有前駆体、酸素含有前駆体、水素含有前駆体、又は炭素含有前駆体であってよく、又はそれらを含んでよい。
[0042] 酸化剤については、金属含有材料と反応し得る任意の酸素含有前駆体が使用されてよい。例えば、酸素含有前駆体は、水、二原子酸素、オゾン、ヒドロキシル含有前駆体又はアルコール、窒素及び酸素含有前駆体、局所的又は遠隔的に強化された酸素を含むプラズマ強化酸素、或いはハフニウムなどの金属と共に組み込まれて、基板を覆う金属酸化物材料層を生成することができる、酸素を含む任意の他の材料であってよく、又はそれらを含んでよい。再び、上記の金属含有材料のいずれかが、本技術の実施形態で使用されてよく、ハフニウム、ジルコニウム、シリコン、ランタン、アルミニウム、チタン、ストロンチウム、又はこれらの材料の組み合わせ、例えば、ハフニウムシリケートなどを含んでよく、これらに限定されなくてもよい群化された金属のいずれかを含んでよい。
[0043] 本技術の実施形態による前処理が実行される場合、金属含有材料の構造は、より均一な粒子構造を生成するように規則正しいやり方で形成又は堆積され得る。これは、本技術の実施形態によって製造されるような、より高品質のシリコン又は酸化ケイ素などの、より構造化された表面材料の上に前処理前駆体の反応性配位子を形成することによって製造されてよい。更に、特定の条件で前処理曝露を行うことによって、更なる改善が提供されてよい。
[0044] 前処理は、前駆体及び/又は基板の表面を活性化するように構成された温度で行われてよい。例えば、窒素及び水素含有前駆体を前処理前駆体として使用してよい状況では、前駆体を送達しながら、基板を約300℃以上の温度に維持してよい。同様に、酸素含有前駆体による前処理もまた、基板温度を約300℃以上に維持しながら実行されてよい。任意の前処理動作では、基板はまた、約400℃以上、約500℃以上、約600℃以上、約700℃以上、約800℃以上、又はそれより上の温度に維持されてもよい。前処理の温度が約500℃以下に低下するにつれて、有効性が低下する可能性がある。同様に、温度が約700℃以上に上昇すると、核形成が改善されず、過剰な前駆体が表面に組み込まれ、デバイスの移動度が低下することがある。その結果、幾つかの実施形態では、温度が、前処理中に、約500℃と約700℃との間に維持されてよい。
[0045] 同様な温度範囲が、酸化動作の一方又は両方の動作に影響を及ぼし得、これは、第1の酸化において維持されるシリコンキャップ材料の量を注意深く制御し得、そして第2の酸化において過剰な酸化を制限し得る。シリコン材料への酸素のゆっくりとした侵入を制御するために、温度は900℃以下に維持されてよく、約850℃以下、約800℃以下、約750℃以下、約700℃以下、約650℃以下、約600℃以下、又はそれより下に維持されてよい。
[0046] 同様に、曝露時間が、窒素含有前駆体の組み込み量に影響を与え、したがって、製造装置の移動損失を制限するために、前駆体曝露が約3分以下であってよく、一部の実施形態では、曝露時間が、約2.5分以下、約2分以下、約1.5分以下、約1分以下、約45秒以下、約30秒以下、約15秒以下、又はそれより下であってよい。一旦、適切な量のアミン基が組み込まれると、形成が行われてよい。原子層形成を含む形成は、任意の温度で実行されてよいが、幾つかの実施形態では、原子層堆積が、同じチャンバ内で実行されるか又は異なるチャンバ内で実行されるかにかかわらず、前処理が実行される温度未満の温度又は略その温度で実行されてよい。例えば、原子層堆積は、前処理温度に対して第2の温度で実行されてよく、形成温度は、実施形態では、約500℃以下であってよく、約450℃以下、約400℃以下、約350℃以下、約300℃以下、約250℃以下、又はそれより下であってよい。
[0047] 高誘電率材料の層が形成又は堆積された後に、1以上の後処理が行われてよい。幾つかの実施形態では、基板が、任意選択的な動作240で材料を後処理するために、堆積チャンバから別のチャンバ又はチャンバの組に移動されてよい。上記で説明したものと同様に、この移動は、複数のチャンバを有する単一の処理システム上で行うことができ、したがって、これらのチャンバのいずれかからの、又はこれらのチャンバ間の移動は、減圧状態を維持しながら行われてよい。次いで、方法200は、任意選択的な動作245によって示されているように、1以上の更なる後処理動作を含んでよい。後処理動作は、同じクラスタツール上の複数のチャンバを含む、1以上のチャンバ内で実行される1以上の動作を含んでよい。後処理動作は、酸化、窒化、及び/又は熱アニールを含んでよい。
[0048] 上記のように、前処理動作は、過剰な前駆体が基板に組み込まれることを制限しながら、前述の均一な成長を与えるのに十分な末端部分を提供するように実施されてよい。例えば、組み込まれた窒素界面は、製造されたトランジスタの移動度、又はキャリアが構造を通っていかに迅速に移動することができるかを低減し得る。上述の前処理は、制御されない場合には、高誘電率膜のスケーリングを更に改善することができるが、そのような前処理は、実際にはデバイス移動度を低下させることがある。しかし、幾つかの実施形態では、1つの後処理が、前処理動作で使用され得る第1の酸素含有前駆体に対して、形成された高誘電率材料を第2の酸素含有前駆体で酸化することを含んでよい。
[0049] 例えば、上述したいずれかの酸素含有前駆体を利用した酸化動作を行って、形成後の膜を更に酸化してもよい。高誘電率膜の堆積又は形成は、多孔質膜、又は構造中に空孔を含む膜を生成し得る。酸化動作を実行することによって、酸素種は、層330bによって示されているように、膜充填空孔に浸透することができ、ならびに、上述された以前の動作で形成されない場合には、任意選択的な層320などの高誘電率材料の界面に酸化物材料を生成してよい。これによって、アミン末端基から下にある界面を改善することができ、これは、デバイスの移動度性能を増加させ得る。下にある酸化物層の厚さの過剰な増加を制限するために、酸化動作は、制限された時間で実行されてよく、前述の時間範囲のいずれかの範囲内で実行されてよい。
[0050] 後処理動作は、使用される場合、前処理窒素含有前駆体に対して、基板を第2の窒素含有前駆体と接触させることを更に含んでよい。第2の窒素含有前駆体は、上述の任意の窒素含有前駆体を含んでよく、窒素ガス、ならびに他の箇所に記載の任意の窒素含有前駆体を含んでよい。第2の窒素含有前駆体は、プラズマ活性化若しくは強化窒素含有前駆体、熱活性化窒素、又は他の何らかの窒素前駆体を含んでよく、これは、窒素ラジカル又は窒素原子が、高誘電率構造内に組み込まれることを可能にし、膜を安定化させるか、又は膜を平衡状態に向かって沈降させ得る。酸化動作とは異なり、窒化は、酸化シリコンなどの下層の厚さを増加させ得ず、生成される膜の誘電率値をわずかに増加させ得る。
[0051] 窒素の組み込みは、構造的及び電気的特性を維持するために、膜内への組み込みを制限するように制御されてよい。幾つかの実施形態では、後処理窒化は、高誘電率膜の表面領域に約20原子%以下の窒素を組み込んでよく、約15原子%以下の窒素、約10原子%以下の窒素、約8原子%以下の窒素、約6原子%以下の窒素、約4原子%以下の窒素、約2原子%以下の窒素、又はそれより下の窒素を組み込んでよい。幾つかの実施形態では、約3原子%と約7原子%との間の組み込みは、より高い窒素組み込みよりも高い誘電率値を維持することができ、より低い窒素組み込みよりも膜をより良好に安定化することができる。表面領域とは、材料の露出表面を意味し得るが、窒素の組み込みは、膜内の任意の距離に及んでもよく、一貫していてよく、又は材料を通る還元勾配を形成してよい。
[0052] 後処理酸化又は窒化は、前述の温度のいずれかで実施されてよいが、幾つかの実施形態では、後処理酸化及び/又は窒化が、実施される動作に応じて、約500℃以下の温度範囲で実施されてよく、約400℃以下、約300℃以下、約200℃以下、約100℃以下、又はそれより下の温度範囲で実施されてよい。
[0053] 後処理アニールは、上述の後処理動作のいずれかを含む動作のいずれかの後に実行されてよい。後処理アニールは、前の動作が実行される任意のチャンバ内で実行されてよく、又は、例えば、急速熱アニールプロセスを実行するように構成されたチャンバなどの異なるチャンバへの移動を含んでよい。この場合も、チャンバは、他のチャンバと同じプラットフォーム上に組み込むことができ、減圧状態を維持しながらチャンバ間の移動を可能にすることができる。後処理アニールは、膜結合を更に整列させてよく、膜を更に安定化させることができる。幾つかの実施形態では、後処理アニールが、堆積温度又は酸化温度よりも高い温度で実行されてよい。例えば、後処理アニールは、約400℃以上の温度で実行されてもよく、幾つかの実施形態では、約500℃以上、約600℃以上、約700℃以上、約800℃以上、約900℃以上、又はそれより上の温度で実行されてよい。
[0054] 本技術の実施形態による前処理、酸化、及び/又は後処理を実行することによって、改善された高誘電率材料及び半導体構造を生成することができる。高誘電率材料の層は、最大数ナノメートル又は約数ナノメートルを含む任意の厚さに製造することができる。しかし、本技術によって製造される好適な結晶粒構造のために、ゲートリーク性能への損失なしに、より薄い有効酸化物厚さが製造され得る。本技術に従って製造される高誘電率材料は、約10以上の誘電率値によって特徴付けられてよく、約15以上、約20以上、約21以上、約22以上、約23以上、約24以上、約25以上、又はそれより上の誘電率値によって特徴付けられてよい。
[0055] 本技術は、従来の技術と比較して、更に改善された誘電率を可能にする。更に、生成された粒構造のために、膜に関連付けられたゲートリーク電流は、酸化ケイ素の同様な膜厚のゲートリーク電流の約10分の1以下であり得、ゲートリーク電流は、酸化ケイ素の同様の膜厚のゲートリーク電流の約100分の1以下、酸化ケイ素の同様な膜厚の約1000分の1以下、酸化ケイ素の同様な膜厚の約1/5,000以下、酸化ケイ素の同様な膜厚の約1/10,000以下、酸化シリコンの同様な膜厚の約1/20,000以下、酸化ケイ素の同様な膜厚の約1/50,000以下、酸化ケイ素の同様な膜厚の約1/100,000以下、又はそれより下であり得る。本技術の実施形態による膜を製造することによって、有益な形態を有する形成された膜を製造することができ、これは、従来の技術と比較して膜の電気特性を向上させることができる。
[0056] 上記の記載では、説明を目的として、本技術の様々な実施形態の理解を促すために、数々の詳細が提示されている。しかし、当業者には、これらの詳細のうちの一部がなくても、或いは、追加の詳細があれば、特定の実施形態を実施することができることは明らかであろう。
[0057] 幾つかの実施形態を開示したが、当業者は、実施形態の精神から逸脱することなく、様々な修正例、代替構造物、及び均等物を使用できることを認識されよう。更に、幾つかの周知の処理及び要素は、本技術を不必要に不明瞭にすることを避けるために説明されていない。したがって、上記の説明は、本技術の範囲を限定するものと解釈すべきでない。
[0058] 値の範囲が付与されているところでは、文脈上そうでないと明示されていない限り、その範囲の上限値と下限値との間の各介在値は、下限値の最も小さい単位まで具体的に開示されている。記載された範囲の任意の記載値又は記載されていない介在値の間の任意の小さい範囲、そしてその記載範囲のその他の任意の記載された値又は介在する値も含まれる。これら小さい範囲の上限及び下限は、その範囲に個々に含まれ、又はその範囲から除外される場合があり、小さい範囲に限界値の何れかが含まれる、どちらも含まれない、又は両方が含まれる各範囲もまた、記載された範囲における明確に除外される任意の限界値を条件として、この技術範囲に包含される。記載された範囲に一方又は両方の限界値が含まれる場合、これらの含有限界値の何れか又は両方を除外する範囲もまた含まれる。
[0059] 本明細書及び特許請求の範囲で使用される単数形「a」、「an」、及び「the」は、文脈が他のことを明らかに示していない限り、複数の参照対象を含む。したがって、例えば、「1つの材料(a material)」への言及は、複数のそのような材料を含み、「その前駆体(the precursor)」への言及は、1以上の前駆体及び当業者に既知のその等価物への言及を含む、等々である。
[0060] また、「備える(comprise(s))」、「備えている(comprising)」、「含有する(contain(s))」、「含有している(containing)」、「含む(include(s))」、及び「含んでいる(including)」という用語は、本明細書及び特許請求の範囲で使用された場合、記載された特徴、整数、構成要素、又はステップの存在を特定することを意図しているが、1以上のその他の特徴、整数、構成要素、工程、動作、又は群の存在若しくは追加を除外するものではない。

Claims (15)

  1. 半導体構造を形成する方法であって、
    シリコンゲルマニウムを含む半導体基板の上にシリコン層を形成すること、
    前記シリコン層の一部分を前記半導体基板と接触した状態に維持しながら、前記シリコン層の一部分を酸化して犠牲酸化物を形成すること、
    前記犠牲酸化物を除去すること、
    前記半導体基板と接触する前記シリコン層の前記一部分を酸化して、酸素含有材料を形成すること、及び
    前記酸素含有材料の上に重ねて高誘電率誘電材料を形成することを含む、方法。
  2. 前記除去することは、インシトゥ乾式化学プロセスを含み、第1の処理チャンバ内で実行され、前記方法は更に、前記高誘電率誘電材料を形成する前に、前記半導体基板を前記第1の処理チャンバから第2の処理チャンバに移動させることを含む、請求項1に記載の半導体構造を形成する方法。
  3. 前記方法は、前記半導体基板を大気に曝露することなしに、1以上の処理チャンバ内で実行される、請求項1に記載の半導体構造を形成する方法。
  4. 前記シリコン層は、前記シリコンゲルマニウムの上にエピタキシャル形成される、請求項1に記載の半導体構造を形成する方法。
  5. 前記犠牲酸化物を形成することは、第1の酸化プロセスを含み、前記半導体基板と接触する前記シリコン層の前記一部分を酸化することは、前記第1の酸化プロセスとは異なる第2の酸化プロセスを含む、請求項1に記載の半導体構造を形成する方法。
  6. 前記半導体基板と接触する前記シリコン層の前記一部分を酸化することは、窒素及び酸素含有前駆体を前記半導体基板に送達することを含み、前記半導体基板と接触する前記シリコン層の前記一部分を酸化することは、約750℃以下の温度で行われる、請求項1に記載の半導体構造を形成する方法。
  7. 前記高誘電率誘電材料を形成する前に、アンモニア又は酸素含有前駆体を用いて、前記酸素含有材料上に反応性配位子を導入することを更に含む、請求項1に記載の半導体構造を形成する方法。
  8. 前記高誘電率誘電材料が、ハフニウム、ジルコニウム、シリコン、ランタン、アルミニウム、チタン、及びストロンチウムからなる群から選択される少なくとも1つの元素を含む、請求項1に記載の半導体構造を形成する方法。
  9. 半導体構造を形成する方法であって、
    半導体処理チャンバ内に収容された基板の表面から酸化物を除去することであって、前記基板はシリコンゲルマニウムフィンを含む、酸化物を除去すること、
    前記基板の前記表面の上にシリコン層を形成すること、
    前記シリコン層の一部分を前記基板と接触した状態に維持しながら、前記シリコン層の一部分を酸化して犠牲酸化物を形成すること、
    前記犠牲酸化物を除去すること、
    亜酸化窒素を前記基板に送達して、酸素含有材料を形成すること、
    前記基板を窒素含有前駆体と接触させることによって、前記酸素含有材料を前処理すること、及び
    前処理された前記酸素含有材料の上に重ねて高誘電率誘電材料を形成することを含む、方法。
  10. 前記除去することは、インシトゥ乾式化学プロセスを含み、第1の処理チャンバ内で実行され、前記方法は更に、前記高誘電率誘電材料を形成する前に、前記基板を前記第1の処理チャンバから第2の処理チャンバに移動させることを含む、請求項9に記載の半導体構造を形成する方法。
  11. 前記犠牲酸化物を形成することは、亜酸化窒素を前記基板に送達して酸素含有材料を形成することを含み、亜酸化窒素を前記基板に送達して酸素含有材料を形成することは、約750℃以下の温度で行われる、請求項9に記載の半導体構造を形成する方法。
  12. 前記犠牲酸化物を形成することは、酸素含有前駆体及び水素含有前駆体を前記基板に送達して酸素含有材料を形成することを含む、請求項9に記載の半導体構造を形成する方法。
  13. 前記酸素含有材料を前処理することにより、前記酸素含有材料上に反応性配位子を形成する、請求項9に記載の半導体構造を形成する方法。
  14. 前記高誘電率誘電材料を後処理することを更に含む、請求項9に記載の半導体構造を形成する方法。
  15. 半導体構造を形成する方法であって、
    半導体処理チャンバ内に収容された基板の表面から自然酸化物を除去することであって、前記基板はシリコンゲルマニウムを含む、自然酸化物を除去すること、
    前記基板の前記表面の上にシリコン層を形成すること、
    前記シリコン層の一部分を前記基板と接触した状態に維持しながら、前記シリコン層の一部分を酸化して犠牲酸化物を形成すること、
    前記犠牲酸化物の除去すること、
    前記基板と接触する前記シリコン層の前記一部分を酸化して、酸素含有材料を形成すること、及び
    前記酸素含有材料の上に重ねて高誘電率誘電材料を形成することを含む、方法。
JP2022524578A 2019-11-01 2020-10-26 FinFET形成のためのキャップ酸化 Active JP7397186B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962929332P 2019-11-01 2019-11-01
US62/929,332 2019-11-01
PCT/US2020/057380 WO2021086788A1 (en) 2019-11-01 2020-10-26 Cap oxidation for finfet formation

Publications (2)

Publication Number Publication Date
JP2023500629A true JP2023500629A (ja) 2023-01-10
JP7397186B2 JP7397186B2 (ja) 2023-12-12

Family

ID=75689086

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022524578A Active JP7397186B2 (ja) 2019-11-01 2020-10-26 FinFET形成のためのキャップ酸化

Country Status (6)

Country Link
US (1) US11271097B2 (ja)
JP (1) JP7397186B2 (ja)
KR (1) KR102527891B1 (ja)
CN (1) CN115004340A (ja)
TW (1) TWI756885B (ja)
WO (1) WO2021086788A1 (ja)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007110144A (ja) * 2006-11-20 2007-04-26 Tokyo Electron Ltd 絶縁膜の形成方法
JP2008078203A (ja) * 2006-09-19 2008-04-03 Asahi Kasei Electronics Co Ltd 半導体装置の製造方法
JP2008198786A (ja) * 2007-02-13 2008-08-28 Fujitsu Ltd 半導体装置の製造方法
JP2010157570A (ja) * 2008-12-26 2010-07-15 Toshiba Corp 半導体装置の製造方法
JP2014506013A (ja) * 2011-02-04 2014-03-06 アプライド マテリアルズ インコーポレイテッド SiO2のインシトゥ気相表面活性化

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08241863A (ja) * 1995-03-06 1996-09-17 Canon Inc 半導体基板の製造方法
US5891798A (en) * 1996-12-20 1999-04-06 Intel Corporation Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
TW419732B (en) * 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US7005695B1 (en) 2000-02-23 2006-02-28 Micron Technology, Inc. Integrated circuitry including a capacitor with an amorphous and a crystalline high K capacitor dielectric region
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6821873B2 (en) 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6617639B1 (en) 2002-06-21 2003-09-09 Advanced Micro Devices, Inc. Use of high-K dielectric material for ONO and tunnel oxide to improve floating gate flash memory coupling
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
US6723581B1 (en) 2002-10-21 2004-04-20 Agere Systems Inc. Semiconductor device having a high-K gate dielectric and method of manufacture thereof
US6716695B1 (en) 2002-12-20 2004-04-06 Texas Instruments Incorporated Semiconductor with a nitrided silicon gate oxide and method
US6746900B1 (en) 2003-02-19 2004-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a semiconductor device having high-K gate dielectric material
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4485754B2 (ja) * 2003-04-08 2010-06-23 パナソニック株式会社 半導体装置の製造方法
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7115530B2 (en) 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
US20050124121A1 (en) 2003-12-09 2005-06-09 Rotondaro Antonio L. Anneal of high-k dielectric using NH3 and an oxidizer
US7323423B2 (en) 2004-06-30 2008-01-29 Intel Corporation Forming high-k dielectric layers on smooth substrates
US7358196B2 (en) 2005-02-07 2008-04-15 Applied Materials, Inc. Wet chemical treatment to form a thin oxide for high k gate dielectrics
US20070039924A1 (en) 2005-08-18 2007-02-22 Tokyo Electron Limited Low-temperature oxide removal using fluorine
TWI267879B (en) 2005-12-21 2006-12-01 Ind Tech Res Inst Metal-insulator-metal capacitor
US7964514B2 (en) 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP5119604B2 (ja) * 2006-03-16 2013-01-16 ソニー株式会社 半導体装置の製造方法
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
KR100839752B1 (ko) * 2006-09-25 2008-06-19 전북대학교산학협력단 자기정렬 에피성장층을 채널로 이용하는 반도체 소자구조의 제조방법
TWI459471B (zh) 2007-03-08 2014-11-01 Applied Materials Inc 使用低能量電漿系統製造高介質常數電晶體閘極的方法與設備
US8148275B2 (en) * 2007-12-27 2012-04-03 Canon Kabushiki Kaisha Method for forming dielectric films
JP2009158783A (ja) * 2007-12-27 2009-07-16 Canon Inc 絶縁膜の形成方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7960802B2 (en) 2008-11-21 2011-06-14 Texas Instruments Incorporated Methods to enhance effective work function of mid-gap metal by incorporating oxygen and hydrogen at a low thermal budget
US8313994B2 (en) * 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US8304836B2 (en) * 2009-11-17 2012-11-06 International Business Machines Corporation Structure and method to obtain EOT scaled dielectric stacks
KR20110057645A (ko) 2009-11-24 2011-06-01 삼성전자주식회사 절연막 형성 방법 및 이를 포함하는 트랜지스터 형성 방법
US8420208B2 (en) 2010-08-11 2013-04-16 Micron Technology, Inc. High-k dielectric material and methods of forming the high-k dielectric material
US20120088356A1 (en) 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US9147736B2 (en) 2013-03-01 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. High-K film apparatus and method
US8722485B1 (en) * 2013-03-27 2014-05-13 GlobalFoundries, Inc. Integrated circuits having replacement gate structures and methods for fabricating the same
US10276691B2 (en) 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Conformal transfer doping method for fin-like field effect transistor
US10347492B2 (en) 2017-01-27 2019-07-09 Applied Materials, Inc. Modifying work function of a metal film with a plasma process
US10872763B2 (en) 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008078203A (ja) * 2006-09-19 2008-04-03 Asahi Kasei Electronics Co Ltd 半導体装置の製造方法
JP2007110144A (ja) * 2006-11-20 2007-04-26 Tokyo Electron Ltd 絶縁膜の形成方法
JP2008198786A (ja) * 2007-02-13 2008-08-28 Fujitsu Ltd 半導体装置の製造方法
JP2010157570A (ja) * 2008-12-26 2010-07-15 Toshiba Corp 半導体装置の製造方法
JP2014506013A (ja) * 2011-02-04 2014-03-06 アプライド マテリアルズ インコーポレイテッド SiO2のインシトゥ気相表面活性化

Also Published As

Publication number Publication date
WO2021086788A1 (en) 2021-05-06
US20210134986A1 (en) 2021-05-06
US11271097B2 (en) 2022-03-08
KR102527891B1 (ko) 2023-04-28
TWI756885B (zh) 2022-03-01
TW202121537A (zh) 2021-06-01
JP7397186B2 (ja) 2023-12-12
KR20210053241A (ko) 2021-05-11
CN115004340A (zh) 2022-09-02

Similar Documents

Publication Publication Date Title
TWI765747B (zh) 使用摻雜層的閘極界面加工
JP7297932B2 (ja) 材料構造を向上させる処理
JP7397186B2 (ja) FinFET形成のためのキャップ酸化
JP7450026B2 (ja) ゲートインターフェース工学のための新規方法
CN116918034A (zh) 用以改善装置效能的处理
JP7478776B2 (ja) ゲートスタック形成のための統合湿式洗浄
TWI837426B (zh) 用於形成半導體結構的處理系統及方法
TW202417666A (zh) 用於形成半導體結構的處理系統及方法
US20210193468A1 (en) Treatments To Improve Device Performance
KR20240005938A (ko) 고품질 선택적 실리콘 질화물 증착을 위한 통합된 방법 및 도구

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220623

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230703

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230711

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231011

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231031

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231130

R150 Certificate of patent or registration of utility model

Ref document number: 7397186

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150