JP2023076392A - 半導体構造および方法(置換金属ゲートの後の埋め込みパワーレール) - Google Patents

半導体構造および方法(置換金属ゲートの後の埋め込みパワーレール) Download PDF

Info

Publication number
JP2023076392A
JP2023076392A JP2022170665A JP2022170665A JP2023076392A JP 2023076392 A JP2023076392 A JP 2023076392A JP 2022170665 A JP2022170665 A JP 2022170665A JP 2022170665 A JP2022170665 A JP 2022170665A JP 2023076392 A JP2023076392 A JP 2023076392A
Authority
JP
Japan
Prior art keywords
bpr
region
dielectric liner
gate
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022170665A
Other languages
English (en)
Inventor
デヴィカ サーカー グラント
Sarkar Grant Devika
サガリカ ムケシュ
Mukesh Sagarika
キシク チョイ
Kisik Choi
ソムナス ゴーシュ
Ghosh Somnath
ルイロン シエ
Ruilong Xie
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023076392A publication Critical patent/JP2023076392A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Road Signs Or Road Markings (AREA)
  • Gates (AREA)
  • Vehicle Body Suspensions (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】半導体構造がアニーリングのために加熱されている間に、埋め込みパワーレールに存在する特定のタイプの金属(例えばコバルト)が、半導体構造の他の構成要素に移動し拡散してしまうことがある。【解決手段】半導体構造100は、第1の電界効果トランジスタ(FET)領域に接続された第1のソース/ドレイン(S/D)、第2のFET領域に接続された第2のS/D、及び埋め込みパワーレール(BPR)領域124a、124bを有する。BPR領域は、BPRと、BPR領域の第1の横側をライニングする第1の誘電体ライナ130aと、第2の横側をライニングする第2の誘電体ライナ130bとを含む。第1の誘電体ライナは、BPRを第1のFET領域および第1のS/Dから隔離する。第2の誘電体ライナは、BPRを第2のFET領域から隔離する。ライナにより、ゲートおよびS/Dの形成後にBPRを形成することが可能になる。【選択図】図4A

Description

本発明は、一般に半導体デバイスの作製の分野に関し、より詳細には、置換金属ゲート形成後の埋め込みパワーレールの形成に関する。
半導体デバイスの作製においては、何百万ものデバイスがともに単一の基板上に位置付けられることがある。これらの何百万ものデバイスの有用な制御は、特定のデバイスに電気信号を適用すると同時に、その他(例えば他のデバイス)との短絡からその電気信号を絶縁することに依存している。標準的な論理セル内では、配線工程(back-end of line:BEOL)の金属層におけるパワーレールが、ソース/ドレインに電流を送達し、このソース/ドレインが個々のデバイス(例えばトランジスタ)に給電する。パワーレールは、十分な配電ターゲットを維持するために、標準的なルーティングトラック/信号ラインよりも大きい電流を搬送し、したがってセル内でより大きい空間を必要とする。多くの設計において、パワーレールは、通常のルーティングラインの4倍の大きさになることがある。
パワーレールの横方向寸法を縮小し、垂直方向寸法をセル内により深く入り込むよう拡大することにより、パワーレールの総金属体積を多い状態に保ちながら、他の構成要素のための空間を空けることができる。しかしパワーレールの深さを増大すると、ビア抵抗の上昇を引き起こすか、またはBEOL内のトラック間で増大したキャパシタンスを信号ラインが搬送することになる場合がある。パワーレールを物理デバイス(例えばトランジスタ)の下に埋め込むことにより、BEOL内の信号ラインから独立して、パワーレールの深さを増大することが可能になる。埋め込みパワーレール(BPR)は、BEOL内のビア抵抗またはキャパシタンスのいずれにも悪影響を及ぼすことなく、パワーレールにわたる抵抗を著しく低下させる。
全般的に、BPR形成は、半導体デバイスにおけるフィン(例えば、ナノシート積層フィン)形成の直後に行われる。つまり、フィンがエッチングされシャロートレンチアイソレーション(STI)層が適用された後で、BPR用のトレンチがエッチングされる。STIの直後にBPRトレンチを形成することにより、「埋め込まれ」て、ゲート、ゲートスペーサ、エピ、金属層コンタクト、もしくは半導体構造の他の構成要素、またはそれらの組み合わせを妨害しない状態で残るBPRが提供される。
しかし、ゲート、ゲートスペーサ、エピ、金属層コンタクト、他の構成要素の形成中に、半導体構造の埋め込みパワーレールは、アニーリング工程中に引き起こされる熱的不安定性の影響を受けることがある。具体的には、半導体構造がアニーリングのために加熱されている間に、埋め込みパワーレールに存在する特定のタイプの金属(例えばコバルト)が、半導体構造の他の構成要素に移動し拡散してしまうことがある。付加的または代替的に、加熱中の金属の膨張および収縮に起因して、半導体構造は、ウエハに応力を加えるか、もしくはウエハを曲げるか、またはその両方を行うことがある。この応力は、作製中に用いられることがある多数のアニーリングサイクルにわたって強くなることがある。
本発明の一実施形態によれば、半導体構造が開示される。半導体構造は、第1の電界効果トランジスタ(FET)領域に接続された第1のソース/ドレイン(S/D)と、第2のFET領域に接続された第2のS/Dと、第1の方向において横方向に延在し、第1のFET領域と第2のFET領域との間に位置する埋め込みパワーレール(BPR)領域とを含んでよい。BPR領域は、埋め込みパワーレール(BPR)と、BPR領域の第1の横側をライニングする第1の誘電体ライナと、BPR領域の第2の横側をライニングする第2の誘電体ライナとを含んでよい。第1の誘電体ライナは、BPRを第1のFET領域および第1のS/Dから隔離し、第2の誘電体ライナは、BPRを第2のFET領域から隔離する。半導体構造は、BPR領域の第2の横側を介して、第2のS/DとBPRとを電気的に接続するコンタクトも含んでよい。ライナにより、ゲートおよびS/Dの形成後にBPRを形成することが可能になり、それによりBPRは、ゲートおよびS/Dのアニーリング工程中に問題を引き起こさない。
本発明の実施形態は、第1のFET領域および第2のFET領域が、PFETまたはNFETの極性を有するデバイスであってよいことを規定する。本発明の実施形態の半導体構造について、第1の誘電体ライナと第2の誘電体ライナは、BPRの下で接続されていて、BPRの下側部分を基板から隔離していてよい。BPRを基板から隔離することにより、隔離しない場合に生じることがある短絡が低減される。
本発明の実施形態は、水平金属拡張部を含んでよい。水平金属拡張部は、第1の誘電体ライナと第2の誘電体ライナとの間でBPRの上面を覆うようにコンタクトから延在しているので、BPRとコンタクトとの間の電気接続性を増大させる。実施形態は、第1の方向においてBPRに沿って、第1のFET領域および第2のFET領域に隣接しているゲート領域であって、ゲート領域において、第1の誘電体ライナが、BPRを第1のゲートから分離しており、第2の誘電体ライナが、BPRを第2のゲートから分離している、ゲート領域も含んでいてよい。ゲート領域は、第1の誘電体ライナと第2の誘電体ライナとの間の層間誘電体(ILD)と、ILDとBPRとの間に位置する水平金属拡張部とを含んでいてよい。
本発明の実施形態は、方法であって、半導体構造のゲート領域に第1のゲートおよび第2のゲートを形成する段階と、前記ゲート領域に隣接したソース/ドレイン(S/D)領域に、第1のS/Dおよび第2のS/Dを形成する段階と、前記第1のゲートと前記第2のゲートとの間でかつ前記第1のS/Dと前記第2のS/Dとの間に、埋め込みパワーレール(BPR)領域をエッチングする段階と、前記BPR領域の第1の横側をライニングする第1の誘電体ライナを形成する段階と、前記BPR領域の第2の横側をライニングする第2の誘電体ライナを形成する段階と、前記第1の誘電体ライナと前記第2の誘電体ライナとの間でBPRを形成する段階と、前記S/D領域および前記第2のS/Dの少なくとも一部分に、前記第2の誘電体ライナを通るコンタクト開口を形成する段階とを含んでよい方法を提供する。ゲートとS/Dとの間に(すなわち、ゲートおよびS/Dが形成された後に)、そのライナを有するBPRを形成することにより、ゲートおよびS/Dを形成するときに使用されるアニーリング工程中に、BPRが問題を引き起こすことを回避することができる。
本発明の実施形態は、方法であって、前記BPRの上に第1の誘電体キャップを形成してから、前記ILDを形成する段階と、前記コンタクトをエッチングした後に前記第1の誘電体キャップをエッチングして、水平金属拡張領域を形成する段階と、前記水平金属拡張領域を金属化して水平金属拡張部を形成する段階とをさらに含んでよい方法を提供する。水平金属拡張部は、第1の誘電体ライナと第2の誘電体ライナとの間でBPRの上面を覆うようにコンタクトから延在しているので、BPRとコンタクトとの間の電気接続性を増大させる。方法は、ディープシャロートレンチアイソレーション(STI)を形成してから前記第1のゲート、前記第2のゲート、前記第1のS/D、および前記第2のS/Dを形成する段階であって、前記ディープSTIが、前記BPRの下側部分を囲んで前記BPRを前記基板から隔離する、段階をさらに含んでよい。ディープSTIを形成することにより、最初に誘電体ライナを形成することなく、基板およびFET領域からBPRを隔離することができる。特定の実施形態において、方法は、前記第1の誘電体ライナおよび前記第2の誘電体ライナの下に、前記BPRの下側部分を形成する段階であって、前記BPRの前記下側部分が、前記ディープSTIによって基板から隔離される、段階をさらに含んでよい。
本発明の実施形態は、ゲートおよびS/Dの形成工程中に、具体的にはアニーリング工程中に、BPRによって引き起こされることがある問題を除去するために、ゲートおよびS/Dの後に形成されるBPRを有する半導体構造を含んでよい方法を提供する。半導体構造は、第1のゲートと埋め込みパワーレール(BPR)との間の第1の誘電体ライナおよび第2のゲートと前記BPRとの間の第2の誘電体ライナを有するゲート領域を含んでよい。半導体構造は、第1のソース/ドレイン(S/D)と前記BPRとの間の第1の誘電体ライナおよび前記BPRに接触する第2のS/Dを有するソース/ドレイン(S/D)領域も含んでよい。
本発明の実施形態は、方法であって、第1のソース/ドレイン(S/D)コンタクトを有する第1の電界効果トランジスタ(FET)領域と、第2のS/Dコンタクトを有する第2のFET領域と、前記第1のFET領域と前記第2のFET領域との間のディープシャロートレンチアイソレーション(STI)と、埋め込みパワーレール(BPR)とを有する半導体構造を含んでよい方法を提供する。前記BPRの下側部分は、前記ディープSTIによって、前記第1のFET領域および前記第2のFET領域から隔離されていてよく、前記BPRの上側部分は、第1の誘電体ライナによって前記第1のS/Dコンタクトから隔離されていてよい。BPRの上側部分は、第2のS/Dコンタクトに接触してよい。
本発明の実施形態は、方法であって、ディープシャロートレンチアイソレーション(STI)を形成する段階と、第1のソース/ドレイン(S/D)を有する第1の電界効果トランジスタ(FET)領域および第2のS/Dを有する第2のFET領域を形成する段階と、前記ディープSTIに埋め込みパワーレール(BPR)領域をエッチングする段階とを含んでよい方法を提供する。ライナSTIは、BPR領域の外側に残ってよい。また方法は、前記BPR領域内にBPRの下側部分を形成する段階であって、前記ライナSTIが、前記BPRを前記第1のFET領域および前記第2のFET領域から隔離する、段階と、前記BPR領域の第1の横側をライニングする第1の誘電体ライナを前記BPRの上に形成する段階と、前記BPRの上側部分を形成する段階であって、前記第1の誘電体ライナが、前記BPRの前記上側部分を前記第1のS/Dから隔離する、段階とを含んでよい。
本発明の一実施形態による、半導体構造の概略上面図である。
本発明の一実施形態による、後の作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、図2Aと同じ作製ステージにおける、図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、図3Aと同じ作製ステージにおける、図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、図4Aと同じ作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、図5Aと同じ作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、図6Aと同じ作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、図7Aと同じ作製ステージにおける図1の半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図5Aおよび図5Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図8Aと同じ作製ステージにおける図5Aおよび図5Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図8Aおよび図8Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図9Aと同じ作製ステージにおける図8Aおよび図8Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図8Aおよび図8Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図10Aと同じ作製ステージにおける図8Aおよび図8Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図8Aおよび図8Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図11Aと同じ作製ステージにおける図8Aおよび図8Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図8Aおよび図8Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図12Aと同じ作製ステージにおける図8Aおよび図8Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、作製ステージにおける半導体構造の概略側方断面図である。
本発明の一実施形態による、図13Aの半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図14Aと同じ作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図15Aと同じ作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図16Aと同じ作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、後の作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。
本発明の一実施形態による、図17Aと同じ作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。
以下の詳細な説明では、本発明の実施形態の具体例を示す添付図面を参照する。これらの実施形態は、当業者がこれらを実施できるようにするための十分な詳細事項を記載しており、記載する実施形態から逸脱することなく、他の実施形態が利用されてよいこと、ならびに構造的、論理的、および電気的な変更が加えられてよいことが、理解されるべきである。したがって、以下の詳細な説明は、限定的な意味で捉えられるべきではなく、含まれる実施形態は添付の特許請求の範囲によって定義される。
特許請求される構造および方法の詳細な実施形態を本明細書に開示するが、開示する実施形態は、様々な形態で具現化されてよい特許請求される構造および方法の単なる例示であることが理解されるべきである。さらに、様々な実施形態に関連して供与されたそれぞれの例は、例示であることを意図しており、限定を意図していない。さらに、図は必ずしも原寸に比例しておらず、特定の構成要素の詳細事項を示すために一部の機能は誇張されていることがある。したがって、本明細書に開示する具体的な構造的および機能的な詳細事項は、限定として解釈されるべきではなく、本開示の方法および構造を様々に利用するように当業者に教示するための単なる代表的な根本原理として解釈されるべきである。同様の要素および対応する要素は、同様の参照符号で参照されることにも留意すべきである。
以下の説明には、本願の様々な実施形態の理解をもたらすために、特定の構造、構成要素、材料、寸法、処理段階、および技術など、多数の具体的な詳細事項が記載されている。しかし、これらの具体的な詳細事項なしで、本願の様々な実施形態が実施されてよいことを当業者は理解するであろう。他の事例では、本願を不明瞭にすることを回避けるために、周知の構造または処理段階は詳細に説明されていない。
本明細書における「一実施形態」、「実施形態」、「例示的な実施形態」などの参照は、説明される実施形態が特定の機能、構造、または特性を含み得ることを示している。さらに、そのような文言は、必ずしも同じ実施形態を参照しているわけではない。さらに、ある実施形態に関連して特定の機能、構造、または特性が説明されているとき、明示的に説明されているかどうかに関わらず、他の実施形態に関連したそのような機能、構造、または特性に影響が及ぶことを、当業者は知っていることが提起される。
以降の説明を目的として、「右」、「左」、「垂直」、「水平」、「上部」、「底部」という用語、およびその派生語は、開示される構造および方法に対し、図面に配向された通りに関係するものとする。「重なる」、「~の上」、「~に配置される」、または「~の上に配置される」という用語は、第1の構造などの第1の要素が、第2の構造などの第2の要素の上に存在することを意味し、境界面構造などの介在要素が、第1の要素と第2の要素との間に存在してよい。「直接接触」という用語は、第1の構造などの第1の要素と第2の構造などの第2の要素とが、これら2つの要素の境界面においていかなる中間の導電層、絶縁層、または半導体層もなしに接続されることを意味する。
層、領域、または基板としての要素が、別の要素の「上(on)」または「上方(over)」にあるといわれるとき、層、領域、または基板としての要素は、他方の要素上に直接存在してもよいし、または介在要素が存在してもよいことが理解される。対照的に、要素が別の要素の「上に直接」または「上方に直接」あるといわれるとき、介在要素は存在しない。また、要素が別の要素の「下」または「下方」にあるといわれるとき、その要素は、他方の要素の直接下または下方に存在してもよいし、または介在要素が存在してもよいことが理解される。対照的に、要素が別の要素の「下に直接」または「下方に直接」あるといわれるとき、介在要素は存在しない。
トランジスタおよび集積回路の作製に関して、主面とは、例えばプレーナプロセスにおいて、その中またはその周りに複数のトランジスタが作製される半導体層の表面のことを指す。本明細書で使用するとき、「垂直」という用語は、主面に対して実質的に直交することを意味し、「水平」は、主面に対して実質的に平行であることを意味する。通常、主面は、トランジスタ素子がその上に作製される単結晶シリコン層の平面に沿っている。
集積回路に関して、デバイス構成要素のマスキング、パターニング、およびエッチングにより、ミクロスケールまたはナノスケールでの半導体デバイスの作製が可能になっている。しかし、デバイス、構成要素、および層のサイズおよびピッチが縮小し続けるにつれて、これまで使用されてきたエッチング技術は、意図しない結果を引き起こす場合がある。上に述べた例では、半導体構造の埋め込みパワーレールが、アニーリング工程中に引き起こされる熱的不安定性の影響を受けることがある。アニーリング中に、金属が半導体構造の他の構成要素に移動および拡散するとき、ウエハの歩留まりおよび機能が影響を受けることがある。さらに、上で述べたように、加熱中の金属の膨張および収縮に起因して、半導体構造は、ウエハに応力を加えるか、もしくはウエハを曲げるか、またはその両方を行うことがあり、それにより後工程の位置合わせ不良を引き起こすことがあり、それが集積回路の歩留まりおよび機能の低下につながる。
以下に開示するデバイスおよび方法は、半導体構造および埋め込みパワーレールのアニーリングに関連した問題に対処する。したがって、フィン形成の直後に埋め込みパワーレールを作製するのではなく、本明細書に開示する実施形態は、ダミーゲート形成の後、ソース/ドレインエピタキシャル形成の後、ダミーゲート除去の後、および高誘電率金属ゲート形成の後に埋め込みパワーレールを作製する。
図1は、本発明の一実施形態による、半導体構造100の概略上面図である。概略図は、任意の特定の作製ステージにおいて必ずしも見えるとは限らない行102と列104との関係を示している。行102は、電界効果トランジスタ(FET)領域の一部(例えば、n型FET(NFET)およびp型FET(PFET))として作製されたフィン106を含んでよい。半導体構造100の例示的実施形態は、4つのFET領域:第1のNFET領域108a、第2のNFET領域108b、第1のPFET領域108c、および第2のPFET領域108dを含んでいる。列104は、以下で説明する埋め込みパワーレール(BPR)領域に交差したゲート領域110とソース/ドレイン(S/D)領域112とを含んでいる。以下の図は、半導体構造100の作製ステージにおけるゲート領域A-AおよびS/D領域B-Bにおいて切り取られた側方断面図である。
図2Aおよび図2Bは、本発明の一実施形態による、図1の半導体構造100の概略側方断面図である。図2Aは、ゲート領域110の図であり、図2Bは、S/D領域112の図である。半導体構造100は、ゲート領域110およびS/D領域112を通って横方向に(すなわち、ページに出入りするように)延在するフィン106を有している。基板114およびシャロートレンチアイソレーション(STI)116も、ゲート領域110およびS/D領域112を通って半導体構造100の長さに沿って延在している。上で説明したように、基板114は、FET領域108a、b、c、dに応じて、n型ドーピングまたはp型ドーピングでドープされてよい。特にゲート領域110について、半導体構造100は、STI116およびフィン106の上に作製されたゲート118を含んでいてよい。S/D領域112において、半導体構造100は、ソース/ドレイン120と層間誘電体(ILD)122とを含んでいる。ゲート118およびS/D120のアニーリングおよび硬化は、図2Aおよび図2Bに例示した作製ステージにおいて完了し、埋め込みパワーレールの存在に起因して生じることがある金属汚染、金属拡散、およびウエハ反りは、S/Dエピ成長、高誘電率信頼性アニーリングなどの高熱処理段階中に埋め込みパワーレールが存在していないので、回避されている。
図3Aおよび図3Bは、本発明の一実施形態による、後の作製ステージにおける図1の半導体構造の概略側方断面図である。図3Aおよび図3Bは、半導体構造100の長さにわたって切り取られた埋め込みパワーレール(BPR)領域124a、bを示している。BPR領域124a、bは、半導体構造100の長さに沿って切れ目なくつながっているので、ゲート領域110およびS/D領域112が隣接しており、同じ第1のBPR領域124aおよび同じ第2のBPR領域124bに交差している。BPR領域124a、bは、パターニング済みハードマスク層126を使用してエッチングされてよい。ハードマスク層126は、エッチング工程を介して後でBPR領域124a、bを形成できるように、(例えばリソグラフィを使用して)パターニングされてよい。いくつかの実施形態において、このエッチングは、反応性イオンエッチング(RIE)などの異方性エッチングを使用して実行可能である。ハードマスク層126は、エッチングに影響されず、BPR領域124の所望の形状を形成するために利用可能である。
BPR領域124a、bは、FET領域108同士の間に形成される。図3Aおよび図3Bの例示的実施形態において、第1のBPR領域124aは、第1のFET領域108aと第2のFET領域108bとの間に形成されており、これらは両方ともNFETデバイスである。同様に、第2のBPR領域124bは、両方ともPFETデバイスである第3のFET領域108cと第4のFET領域108dとの間に形成されている。ドーピングタイプの異なるFET領域108同士の間にBPR領域124a、bが形成される他の実施形態が、考えられてよい。BPR領域124a、bはゲート118も切り取っているので、第1のゲート118a、第2のゲート118b、および第3のゲート118cが形成される。
図4Aおよび図4Bは、本発明の一実施形態による、後の作製ステージにおける図1の半導体構造100の概略側方断面図である。半導体構造100は、それぞれのBPR領域124a、bの第1の側132aにある第1の誘電体ライナ130aと、それぞれのBPR領域124a、bの第2の側132bにある第2の誘電体ライナ130bと、第1の誘電体ライナ130aと第2の誘電体ライナ130bとの間に形成された埋め込みパワーレール(BPR)134とを含んでいる。例示してあるように、第1の誘電体ライナ130aと第2の誘電体ライナ130bとは、BPR領域124a、bの底部において接触してよく、これによりBPR134の下側部分136が基板114から隔離および絶縁される。誘電体ライナ130a、bは、BPR134をS/Dエピ120およびゲート118からも隔離する。誘電体ライナ130a、bは、ゲート領域110とS/D領域112との間で誘電体ライナ130a、bの断絶がないように、横方向に切れ目なく延在している。
誘電体ライナ130a、bは、半導体構造100の全体にわたるブランケット層として堆積していてよい。堆積は、原子層成長(ALD)を利用してよく、それにより誘電体ライナ130a、bが、BPR領域124a、b内で均一なナノスケール層を形成してよい。誘電体ライナ130a、bは、SiN、SiBCN、SiOCN、SiOC、SiCなどから形成されてよく、これによりBPR134が半導体構造100の残りの部分から絶縁される。特に、誘電体ライナ130a、bは、半導体構造100の動作に影響を及ぼすことなくゲート118またはS/D120に接触してよい。BPR134は、金属などの導電性材料を含んでよい。特に、BPR134は、例えばタングステン、コバルト、ルテニウム、タンタル、銅、または炭素を含む合金などの金属から形成されてよい。付加的に、窒化チタンの薄層などの導電性金属の堆積の前に、薄い金属接着ライナが形成されてよい。誘電体ライナ130a、bの堆積およびBPR金属134の堆積の後、CMP工程を使用して、パターニングハードマスク126上の材料が研磨される。
図5Aおよび図5Bは、本発明の一実施形態による、後の作製ステージにおける図1の半導体構造100の概略側方断面図である。半導体構造100は、誘電体ライナ130a、b内の凹み140から凹んだBPR134を有している。BPR134は、選択的エッチングを使用してエッチングされてよい。本願の文脈における選択的とは、エッチング工程により、1つの材料が別の材料よりも著しく速くエッチングされることを意味する。図5Aおよび図5Bに例示してある事例では、選択的エッチング工程により、BPR134の導電性材料が、誘電体ライナ130a、bの露出部分またはハードマスク層126よりも著しく速くエッチングされる。BPR134の凹みの量は、実施形態に応じて変更されてよく、例示的実施形態よりも大きいまたは小さい凹み140は、本明細書に開示する実施形態から逸脱しない。
図6Aおよび図6Bは、本発明の一実施形態による、後の作製ステージにおける図1の半導体構造100の概略側方断面図である。図6Aおよび図6Bは、BPR134を覆う誘電体フィル142で充填された凹み140を示している。次いで、半導体構造100は、ハードマスク層126を除去するために平坦化(例えば、化学機械的平坦化(CMP))される。誘電体フィル142は、ILD122と同一または同様の材料を含んでいてよい。ILD122および誘電体フィル142は、誘電体ライナ130a、bまで選択的にエッチングされてよい。
図7Aおよび図7Bは、本発明の一実施形態による、後の作製ステージにおける図1の半導体構造100の概略側方断面図である。図7Aおよび図7Bは、ILD122、誘電体ライナ130a、b、および誘電体フィル142の上のブランケット層として堆積した第2のILD146を示している。第2のILD146は、ILD122と同一または同様の材料を含んでいてもよいし、または異なる組成物もしくは堆積工程を有していてよい。第2のILD146の堆積後、半導体構造100は、ILD146、122を通ってエッチングされたS/Dコンタクト148と、BPRコンタクト150と、ゲートコンタクト152とを含み、これらはそれぞれS/D120、BPR134、およびゲート(すなわち第2のゲート118b)に接触する。BPRコンタクト150は、S/D領域112において第2の誘電体ライナ130bに置き換わる(すなわち、S/D領域112に第2の誘電体ライナ130bはない)。これによりS/Dコンタクト148は、ゲート118a、b、cに送達される電荷に応じてS/D120に/から電気信号を送達/受信することができ、BPR134は、BPRコンタクト150を通して半導体構造100に電力を供給することができる。
コンタクト148、150、152は、金属などの導電性材料から形成されてよい。S/Dコンタクト148およびBPRコンタクト150は、異なるマスク材料(図示せず)でパターニングされてよいが、特定の実施形態においては、1つの堆積工程を使用して形成されてもよく、この工程により、コンタクト148、150の導電性材料が、S/Dコンタクト148の位置とBPRコンタクト150の位置とに同時に付加される。特定の実施形態において、S/Dコンタクト148は、BPRコンタクト150から独立してパターニングおよび形成される。コンタクト金属は、Ti、Ni、NiPtなどのケイ化物ライナと、TiNおよび導電性金属、例えばRu、W、Coなどの金属接着ライナとを備えている。金属堆積の後、CMP工程を使用して、ILD146上の過剰な金属が除去される。
図8Aおよび図8Bは、本発明の一実施形態による、図5Aおよび図5Bに続く作製ステージにおける半導体構造800の概略側方断面図である。図8Aは、ゲート領域810の図であり、図8Bは、S/D領域812の図である。半導体構造800は、ゲート領域810およびS/D領域812を通って横方向に(すなわち、図に示されたときページに出入りするように)延在するフィン806を有している。基板814およびシャロートレンチアイソレーション(STI)816も、ゲート領域810およびS/D領域812を通って半導体構造800の長さに沿って延在している。上で説明したように、基板814は、n型ドーピングまたはp型ドーピングでドープされてよい。特にゲート領域810について、半導体構造800は、STI816およびフィン806の上に作製されたゲート818を含んでいてよい。S/D領域812において、半導体構造800は、ソース/ドレイン820と層間誘電体(ILD)822とを含んでいる。ゲート818およびS/D820のアニーリングおよび硬化は、図2Aおよび図2Bに例示した作製ステージにおいて完了し、埋め込みパワーレールの存在に起因して生じることがある金属汚染、金属拡散、およびウエハ反りは、回避されている。図5Aおよび図5Bに例示した凹み140を1つの材料で充填するのではなく、図8Aおよび図8Bの半導体構造800は、誘電体フィル842の前に形成された誘電体キャップ854を含んでいる。これにより誘電体キャップ854は、誘電体フィル842とBPR834との間に位置し、BPR834、誘電体キャップ854、および誘電体フィル842はすべて、第1のライナ830aと第2のライナ830bとの間に位置する。第1のライナ830aおよび第2のライナ830bは、BPR834を基板814から隔離する。
図9Aおよび図9Bは、本発明の一実施形態による、後の作製ステージにおける図8Aおよび図8Bの半導体構造800の概略側方断面図である。半導体構造800は、上述したコンタクト(すなわち、図7Aおよび図7BのS/Dコンタクト148、BPRコンタクト150、およびゲートコンタクト152)と同様のコンタクトを形成する準備として作成されたコンタクト開口856を有している。コンタクト開口856は、層間誘電体(ILD)822および第2のILD846を通って、ソース/ドレイン(S/D)820、埋め込みパワーレール(BPR)834、およびゲート818に接触するように形成される。しかし、上述した実施形態とは異なり、S/Dコンタクト開口856は、誘電体キャップ854を露出するのに十分なほど幅広にエッチング、もしくはパターニング、またはその両方を施される。したがって、半導体構造800は、BPRコンタクト開口を含んでおらず、そのコンタクトを作るのに必要な段階/マスクは、半導体構造800の作製中にスキップされてよい。半導体構造800の他の実施形態は、誘電体キャップ854とBPRコンタクト開口の両方を含んでいてよい。
図10Aおよび図10Bは、本発明の一実施形態による、後の作製ステージにおける図8Aおよび図8Bの半導体構造800の概略側方断面図である。半導体構造800は、コンタクト開口856の後にエッチングされたライナ凹み858を含んでいる。ライナ凹み858は、半導体構造800の他の露出した構成要素をエッチングすることなく第2の誘電体ライナ830bをエッチングする選択的エッチング工程を使用して、第2の誘電体ライナ830bにエッチングされる。ライナ凹み858をエッチングすることにより、誘電体キャップ854のより大きい部分を露出させ、それにより図11Aおよび図11Bに示してあるように、誘電体キャップ854をより簡単にエッチングすることができる。
図11Aおよび図11Bは、本発明の一実施形態による、後の作製ステージにおける図8Aおよび図8Bの半導体構造800の概略側方断面図である。半導体構造800では、誘電体フィル842とBPR834との間から誘電体キャップ854がエッチングにより取り去られていて、水平拡張間隙860が形成されている。水平拡張間隙860は、S/D領域812および特にゲート領域810の空間を含むコンタクト開口の近くで、誘電体キャップ854に置き換わる。ILD822、846、S/D820、ゲート818、誘電体ライナ830a、b、またはBPR834の露出部分をエッチングしないエッチングの選択的な工程により、誘電体キャップ854は除去される。
図12Aおよび図12Bは、本発明の一実施形態による、後の作製ステージにおける図8Aおよび図8Bの半導体構造800の概略側方断面図である。半導体構造800は、S/Dコンタクト848と、コンタクト開口856内に形成されたゲートコンタクト852とを含んでいる。S/Dコンタクト848は、水平拡張間隙860内に形成された水平金属拡張部862を含んでいる。水平金属拡張部862は、第1の誘電体ライナと第2の誘電体ライナとの間のBPR834の上面を覆ってS/Dコンタクト848から延在しており、それによりS/Dコンタクト848とBPR834との間の表面積接続が増大する。水平金属拡張部862は、特定の実施形態において、BPR834の上面全体を覆ってよい。水平金属拡張部862とBPR834とのこの接続により、S/Dコンタクト848とBPR834との接続を犠牲にすることなく、S/Dコンタクト848同士間の距離864を広げることができるので、S/Dコンタクト848同士間の短絡の可能性が低減する。
図13Aおよび図13Bは、本発明の一実施形態による、作製ステージにおける半導体構造1300の概略側方断面図である。図13Aは、ゲート領域1310の図であり、図13Bは、S/D領域1312の図である。半導体構造1300は、ゲート領域1310およびS/D領域1312を通って横方向に(すなわち、ページに出入りするように)延在するフィン1306を有している。基板1314およびシャロートレンチアイソレーション(STI)1316a、bも、ゲート領域1310およびS/D領域1312を通って半導体構造1300の長さに沿って延在している。特にゲート領域1310について、半導体構造1300は、STI1316a、bおよびフィン1306の上に作製されたゲート1318を含んでいてよい。S/D領域1312において、半導体構造1300は、ソース/ドレイン1320と層間誘電体(ILD)1322とを含んでいる。ゲート1318およびS/D1320のアニーリングおよび硬化は先に完了しており、埋め込みパワーレールの存在に起因して生じることがある金属汚染、金属拡散、およびウエハ反りは、回避されている。
半導体構造1300は、ディープSTI1316aとシャローSTI1316bとを含んでいる。上述した実施形態と同様に、半導体構造1300はFET領域1308を含んでおり、同様にドープされたFET領域間にディープSTI1316aが位置している。つまり、ディープSTI1316aは、(i)NFET領域1308aと1308bとの間、および(ii)PFET領域1308cと1308dとの間に位置している。ディープSTI1316aは、第1の誘電体ライナ1330aおよび第2の誘電体ライナ1330bに少なくとも部分的に重なり、BPR1334の下側部分を囲んで、BPR1334を基板1314から隔離する。
図14Aおよび図14Bは、本発明の一実施形態による、作製ステージにおける半導体構造1300の概略側方断面図である。半導体構造1300は、ゲート1318、ILD1322を通ってディープSTI1316bに入るように切り取られた埋め込みパワーレール(BPR)領域1324a、bを有している。BPR領域1324a、bは、S/D1320の一部分も通って切り取られていてよい。しかしBPR領域1324a、bは、基板1314までエッチングされることはなく、ライナSTI1366は、BPR領域1324a、bの境界の周りに残る。これによりBPR1334がBPR領域1324a、bの内側に形成されたとき、ライナSTI1366は、BPR1334を基板1314から隔離する。
図15Aおよび図15Bは、本発明の一実施形態による、後の作製ステージにおける図13Aおよび図13Bの半導体構造1300の概略側方断面図である。半導体構造1300は、それぞれのBPR領域1324a、bの第1の側1332aにある第1の誘電体ライナ1330aと、それぞれのBPR領域1324a、bの第2の側1332bにある第2の誘電体ライナ1330bとを含んでいる。例示してあるように、第1の誘電体ライナ1330aおよび第2の誘電体ライナ1330bは、BPR領域1324a、bの上側部分1338のみに位置しているが、それでもBPR1334は、BPR領域1324a、bの下側部分1336にあるライナSTI1366によって、基板1314から隔離されている。
図16Aおよび図16Bは、本発明の一実施形態による、後の作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。半導体構造1300は、第1の誘電体ライナ1330aと第2の誘電体ライナ1330bとの間に形成された付加的なBPR1368を示しており、それによりゲート領域1310において、第1の誘電体ライナ1330aは、BPR1334、1368を第1のゲート1318aから分離し、S/D領域1312において、第1の誘電体ライナ1330aは、BPR1334、1368を第1のS/D1320から分離する。
図17Aおよび図17Bは、本発明の一実施形態による、後の作製ステージにおける図13Aおよび図13Bの半導体構造の概略側方断面図である。半導体構造1300は、BPR1334上に形成されたS/Dコンタクト1348、BPRコンタクト1350、およびゲートコンタクト1352を示している。S/Dコンタクト1348は、第2の誘電体ライナ1330bの一部分に置き換わってBPR領域1324aの第2の横側1332bをライニングしており、それによりS/D領域1312において、第2のS/D1320bが、BPR領域1324a、bの外側からBPR1334に接触している。第2のS/D1320bは、BPR1334の上側部分に接触し、特定の実施形態においては、BPR1334の下側部分に接触する。
本明細書に記載の工程から得られる集積回路チップは、製造業者によって、ベアダイとして未加工ウエハの形態で(つまり、パッケージされていない複数のチップを有する1枚のウエハとして)分配されてもよいし、またはパッケージされた形態で分配されてもよい。後者の場合、チップは、シングルチップパッケージ(例えば、マザーボードまたはより高レベルな他のキャリアに固定されるリードを有するプラスチックキャリア)に装着されるか、あるいはマルチチップパッケージ(例えば、表面相互接続もしくは埋め込み相互接続のいずれかまたは両方を有するセラミックキャリア)に装着される。いずれの場合も、次いでチップは、(a)マザーボードなどの中間製品または(b)最終製品のいずれかの一部分として、他のチップ、ディスクリート回路要素、もしくは他の信号処理デバイス、またはそれらの組み合わせに集積される。最終製品は、玩具および他の低価格用途から、ディスプレイ、キーボードもしくは他の入力デバイス、および中央処理装置を有する高度なコンピュータ製品に至る、集積回路チップを含む任意の製品とすることができる。
本明細書で使用される用語は、特定の実施形態を説明することのみを目的としており、本発明を限定することを意図するものではない。本明細書において使用されるとき、文脈により別段に明示されていない限り、単数形の「a」、「an」、および「the」は、複数形も含むことが意図されている。用語「を含む(comprise)」もしくは「を含む(comprising)」またはその両方は、本明細書で使用される場合、記載された機能、整数、段階、動作、要素、もしくは構成要素、またはそれらの組み合わせの存在を特定するが、1つまたは複数の他の機能、整数、段階、動作、要素、構成要素、もしくはそのグループ、またはそれらの組み合わせの存在もしくは追加を排除しないことが、さらに理解されよう。
本願は、その好ましい実施形態に関して特に図示および説明されてきたが、本願の趣旨および範囲から逸脱することなく、形態および詳細事項の上記その他の変更がなされてよいことが、当業者によって理解される。したがって、本願は、説明および例示された厳密な形態および詳細事項に限定されるべきではなく、添付の特許請求の範囲に記載の範囲内に含まれることが意図されている。
本発明の様々な実施形態の説明は、例示の目的で提示されているが、網羅的であることとも、開示される実施形態に限定されることも意図されていない。説明される実施形態の範囲および趣旨から逸脱することなく、多くの修正および変形が、当業者には明らかであろう。本明細書において使用される専門用語は、実施形態の原理、市場で見出される技術の実用的な用途もしくは技術的改善を最もわかりやすく説明するため、または本明細書に開示する実施形態を当業者が理解できるようにするために選択された。

Claims (25)

  1. 半導体構造であって、
    第1の電界効果トランジスタ(FET)領域に接続された第1のソース/ドレイン(S/D)と、
    第2のFET領域に接続された第2のS/Dと、
    第1の方向において横方向に延在し、前記第1のFET領域と前記第2のFET領域との間に位置する埋め込みパワーレール(BPR)領域であって、
    埋め込みパワーレール(BPR)、
    前記BPR領域の第1の横側をライニングする第1の誘電体ライナであって、前記BPRを前記第1のFET領域および前記第1のS/Dから隔離する第1の誘電体ライナ、
    前記BPR領域の第2の横側をライニングする第2の誘電体ライナであって、前記BPRを前記第2のFET領域から隔離する第2の誘電体ライナ
    を有する埋め込みパワーレール(BPR)領域と、
    前記第2のS/Dと前記BPRとを、前記BPR領域の第2の横側を介して電気的に接続するコンタクトと
    を備える、半導体構造。
  2. 前記第1のFET領域および前記第2のFET領域が、PFETおよびNFETから構成されたグループから選択された第1の極性を有するデバイスである、請求項1に記載の半導体構造。
  3. 前記第1の誘電体ライナと前記第2の誘電体ライナが、前記BPRの下で接続されていて、前記BPRの下側部分を基板から隔離している、請求項1または2に記載の半導体構造。
  4. 前記第1の誘電体ライナと前記第2の誘電体ライナとの間で前記BPRの上面を覆うように前記コンタクトから延在している水平金属拡張部をさらに備える、請求項1または2に記載の半導体構造。
  5. 前記第1の方向において前記BPRに沿って、前記第1のFET領域および前記第2のFET領域に隣接しているゲート領域であって、前記ゲート領域において、前記第1の誘電体ライナが、前記BPRを第1のゲートから分離しており、前記第2の誘電体ライナが、前記BPRを第2のゲートから分離している、ゲート領域をさらに備える、請求項1または2に記載の半導体構造。
  6. 前記第1の誘電体ライナと前記第2の誘電体ライナとの間の層間誘電体(ILD)と、
    前記ILDと前記BPRとの間に位置する水平金属拡張部と
    をさらに備える、請求項5に記載の半導体構造。
  7. 方法であって、
    半導体構造のゲート領域に第1のゲートおよび第2のゲートを形成する段階と、
    前記ゲート領域に隣接したソース/ドレイン(S/D)領域に、第1のS/Dおよび第2のS/Dを形成する段階と、
    前記第1のゲートと前記第2のゲートとの間でかつ前記第1のS/Dと前記第2のS/Dとの間に、埋め込みパワーレール(BPR)領域をエッチングする段階と、
    前記BPR領域の第1の横側をライニングする第1の誘電体ライナを形成する段階と、
    前記BPR領域の第2の横側をライニングする第2の誘電体ライナを形成する段階と、
    前記第1の誘電体ライナと前記第2の誘電体ライナとの間でBPRを形成する段階と、
    前記S/D領域および前記第2のS/Dの少なくとも一部分に、前記第2の誘電体ライナを通るコンタクト開口を形成する段階と
    を備える方法。
  8. 第1のドーピングタイプの第1のフィン電界効果トランジスタ(FET)を形成してから、前記第1のフィンFETの上に前記第1のゲートを形成する段階と、
    前記第1のドーピングタイプの第2のフィンFETを形成してから、前記第2のフィンFETの上に前記第2のゲートを形成する段階と
    をさらに備える、請求項7に記載の方法。
  9. 前記第1の誘電体ライナおよび前記第2の誘電体ライナは、前記BPR領域の下側部分をライニングして、前記BPRを基板から隔離する、請求項7または8に記載の方法。
  10. 前記BPRの上部において前記BPRを層間誘電体(ILD)部分から凹ませる段階と、
    前記ILD部分にILDを形成してからコンタクトを切り取る段階と
    をさらに備える、請求項7または8に記載の方法。
  11. 前記BPRの上に第1の誘電体キャップを形成してから、前記ILDを形成する段階と、
    前記コンタクトを切り取った後に前記第1の誘電体キャップをエッチングして、水平金属拡張領域を形成する段階と、
    前記水平金属拡張領域を金属化して水平金属拡張部を形成する段階と
    をさらに備える、請求項10に記載の方法。
  12. ディープシャロートレンチアイソレーション(STI)を形成してから前記第1のゲート、前記第2のゲート、前記第1のS/D、および前記第2のS/Dを形成する段階であって、前記ディープSTIが、前記BPRの下側部分を囲んで前記BPRを基板から隔離する、段階をさらに備える、請求項7または8に記載の方法。
  13. 前記第1の誘電体ライナおよび前記第2の誘電体ライナの下に、前記BPRの下側部分を形成する段階であって、前記BPRの前記下側部分が、前記ディープSTIによって基板から隔離される、段階をさらに備える、請求項12に記載の方法。
  14. 半導体構造であって、
    第1のゲートと埋め込みパワーレール(BPR)との間の第1の誘電体ライナおよび第2のゲートと前記BPRとの間の第2の誘電体ライナを有するゲート領域と、
    第1のソース/ドレイン(S/D)と前記BPRとの間の前記第1の誘電体ライナおよび前記BPRに接触する第2のS/Dを有するソース/ドレイン(S/D)領域と
    を備える半導体構造。
  15. 前記第2のS/Dの水平金属拡張部であって、前記第1の誘電体ライナの内側に接触する水平金属拡張部をさらに備える、請求項14に記載の半導体構造。
  16. 前記ゲート領域が、前記第1の誘電体ライナと前記第2の誘電体ライナとの間に層間誘電体(ILD)をさらに備えており、前記水平金属拡張部が、前記ILDと前記BPRとの間に位置する、請求項15に記載の半導体構造。
  17. 前記第1の誘電体ライナおよび前記第2の誘電体ライナが、前記BPRの下側部分を基板から隔離している、請求項14から16のいずれか一項に記載の半導体構造。
  18. 前記ゲート領域が、前記BPRに沿って前記S/D領域に隣接している、請求項14から16のいずれか一項に記載の半導体構造。
  19. 前記第1の誘電体ライナおよび前記第2の誘電体ライナに少なくとも部分的に重なり、前記BPRの下側部分を囲んで前記BPRを基板から隔離するシャロートレンチアイソレーション(STI)構造をさらに備える、請求項14から16のいずれか一項に記載の半導体構造。
  20. 半導体構造であって、
    第1のソース/ドレイン(S/D)コンタクトを有する第1の電界効果トランジスタ(FET)領域と、
    第2のS/Dコンタクトを有する第2のFET領域と、
    前記第1のFET領域と前記第2のFET領域との間のディープシャロートレンチアイソレーション(STI)と、
    埋め込みパワーレール(BPR)であって、前記BPRの下側部分が、前記ディープSTIによって、前記第1のFET領域および前記第2のFET領域から隔離されており、前記BPRの上側部分が、第1の誘電体ライナによって前記第1のS/Dコンタクトから隔離されており、前記BPRの前記上側部分が、前記第2のS/Dコンタクトに接触している、埋め込みパワーレールと
    を備える、半導体構造。
  21. 前記第1の誘電体ライナが、前記BPRの前記上側部分を第1のゲートから隔離し、第2の誘電体ライナが、前記BPRの前記上側部分を第2のゲートから隔離している、請求項20に記載の半導体構造。
  22. 前記BPRの前記下側部分が、前記第2のS/Dコンタクトに接触する、請求項20または21に記載の半導体構造。
  23. 方法であって、
    ディープシャロートレンチアイソレーション(STI)を形成する段階と、
    第1のソース/ドレイン(S/D)を有する第1の電界効果トランジスタ(FET)領域および第2のS/Dを有する第2のFET領域を形成する段階と、
    前記ディープSTIに埋め込みパワーレール(BPR)領域をエッチングする段階であって、前記BPR領域の外側にライナSTIが残る、段階と、
    前記BPR領域内にBPRの下側部分を形成する段階であって、前記ライナSTIが、前記BPRを前記第1のFET領域および前記第2のFET領域から隔離する、段階と、
    前記BPR領域の第1の横側をライニングする第1の誘電体ライナを前記BPRの上に形成する段階と、
    前記BPRの上側部分を形成する段階であって、前記第1の誘電体ライナが、前記BPRの前記上側部分を前記第1のS/Dから隔離する、段階と
    を備える方法。
  24. 前記BPR領域の第2の横側をライニングする第2の誘電体ライナを前記BPRの上に形成する段階であって、前記第1の誘電体ライナが前記BPRの前記上側部分を第1のゲートから隔離し、前記第2の誘電体ライナが前記BPRの前記上側部分を第2のゲートから隔離する、段階をさらに備える、請求項23に記載の方法。
  25. BPRコンタクトを形成する段階であって、前記BPRコンタクトが前記BPRを前記第2のS/Dに電気的に接続する、段階をさらに備える、請求項23または24に記載の方法。
JP2022170665A 2021-11-22 2022-10-25 半導体構造および方法(置換金属ゲートの後の埋め込みパワーレール) Pending JP2023076392A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/531,837 2021-11-22
US17/531,837 US20230163020A1 (en) 2021-11-22 2021-11-22 Buried power rail after replacement metal gate

Publications (1)

Publication Number Publication Date
JP2023076392A true JP2023076392A (ja) 2023-06-01

Family

ID=84361609

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022170665A Pending JP2023076392A (ja) 2021-11-22 2022-10-25 半導体構造および方法(置換金属ゲートの後の埋め込みパワーレール)

Country Status (5)

Country Link
US (1) US20230163020A1 (ja)
JP (1) JP2023076392A (ja)
CN (1) CN118103971A (ja)
TW (1) TWI818635B (ja)
WO (1) WO2023088668A1 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102576212B1 (ko) * 2018-09-21 2023-09-07 삼성전자주식회사 반도체 장치
US10672665B2 (en) * 2018-09-28 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US10872818B2 (en) * 2018-10-26 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Buried power rail and method forming same
US11101217B2 (en) * 2019-06-27 2021-08-24 International Business Machines Corporation Buried power rail for transistor devices
US10950546B1 (en) * 2019-09-17 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including back side power supply circuit

Also Published As

Publication number Publication date
US20230163020A1 (en) 2023-05-25
TWI818635B (zh) 2023-10-11
TW202322276A (zh) 2023-06-01
CN118103971A (zh) 2024-05-28
WO2023088668A1 (en) 2023-05-25

Similar Documents

Publication Publication Date Title
CN110800113B (zh) 埋入式电力轨道
JP7486058B2 (ja) 後面電力供給における交換用埋設電力レール
TWI677053B (zh) 氣隙閘極側壁間隔件及方法
KR102670209B1 (ko) 3차원 메모리 디바이스들을 형성하기 위한 방법들
US10453843B2 (en) Multiple finFET Formation with epitaxy separation
US8536705B2 (en) Integrated circuit system with through silicon via and method of manufacture thereof
TWI593103B (zh) 於鰭式場效電晶體半導體元件上形成受應力層之方法及其所產生之元件
CN108777257B (zh) 用于集成电路晶体管器件的背侧源极-漏极接触及其制作方法
EP2319077B1 (en) Body contact for sram cell comprising double-channel transistors
US8975712B2 (en) Densely packed standard cells for integrated circuit products, and methods of making same
KR101412906B1 (ko) 전계 효과 트랜지스터를 위한 구조 및 방법
KR100791339B1 (ko) 평탄화 저항 패턴을 포함하는 복합칩 반도체 소자 및 그제조 방법
US9466723B1 (en) Liner and cap layer for placeholder source/drain contact structure planarization and replacement
US20160133623A1 (en) Methods of forming a combined gate and source/drain contact structure and the resulting device
JP2010123947A (ja) 性能を改善する新しいレイアウト構造
WO2006090445A1 (ja) 半導体回路装置及びその半導体回路装置の製造方法
TWI708392B (zh) 具有接觸增強層之fdsoi半導體裝置及製造方法
JP2001127169A (ja) 半導体装置およびその製造方法
CN109300780B (zh) 形成栅极接触点的导电间隔物的方法以及所得装置
US10304839B2 (en) Metal strap for DRAM/FinFET combination
TWI818635B (zh) 在替代金屬閘極之後之埋入式電力軌
JP2538856B2 (ja) 半導体装置の製造方法
US20230197778A1 (en) Extended lower source/drain for stacked field-effect transistor
US20230253322A1 (en) Nano-tsv landing over buried power rail
US20220416048A1 (en) Semiconductor structure having stacked gates and method of manufacture thereof