JP2022533790A - 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム - Google Patents

局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム Download PDF

Info

Publication number
JP2022533790A
JP2022533790A JP2021569565A JP2021569565A JP2022533790A JP 2022533790 A JP2022533790 A JP 2022533790A JP 2021569565 A JP2021569565 A JP 2021569565A JP 2021569565 A JP2021569565 A JP 2021569565A JP 2022533790 A JP2022533790 A JP 2022533790A
Authority
JP
Japan
Prior art keywords
dose
pec
pattern
maximum
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021569565A
Other languages
English (en)
Other versions
JP7474787B2 (ja
Inventor
晶 藤村
ゼイブル,ハロルド・ロバート
シラリ,ナゲシュ
ガスリー,ウィリアム・イー
ペアマン,ライアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
D2S Inc
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S Inc filed Critical D2S Inc
Publication of JP2022533790A publication Critical patent/JP2022533790A/ja
Priority to JP2024065404A priority Critical patent/JP2024099611A/ja
Application granted granted Critical
Publication of JP7474787B2 publication Critical patent/JP7474787B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3175Projection methods, i.e. transfer substantially complete pattern to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31752Lithography using particular beams or near-field effects, e.g. STM-like techniques
    • H01J2237/31754Lithography using particular beams or near-field effects, e.g. STM-like techniques using electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • H01J2237/31771Proximity effect correction using multiple exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

荷電粒子ビームシステムを使用して表面上の領域においてパターンを露光する方法が開示されており、その領域の元の露光情報のセットを入力することと、目標の近接効果補正後(PEC)の最大線量を入力することが含まれる。局所パターン密度は、元の露光情報のセットに基づいて、パターンの領域に対して判定される。PEC前の最大線量がその領域に対して判定される。元の露光情報のセットは、PEC前の最大線量で変更される。

Description

関連出願
この出願は、2019年5月24日に出願された「METHOD AND SYSTEM FOR DETERMINING A CHARGED PARTICLE BEAM EXPOSURE FOR A LOCAL PATTERN DENSITY」と題された米国非仮特許出願第16/422,269号の利益を主張するものであり、これは全目的のために参照により組み込まれる。
本開示はリソグラフィに関し、より具体的には、荷電粒子ビームリソグラフィを使用する、レチクル、ウェーハ、または任意の他の表面であってよい表面の設計及び製造に関する。
荷電粒子ビームリソグラフィの3つの一般的なタイプは、非成形(ガウス)ビームリソグラフィ、成形荷電粒子ビームリソグラフィ、及びマルチビームリソグラフィである。すべてのタイプの荷電粒子ビームリソグラフィにおいて、荷電粒子ビームは、レジストでコーティングされた表面にエネルギーを発して、レジストを露光する。
成形荷電粒子ビームリソグラフィは、可変成形ビーム(VSB)またはキャラクター投影(CP)であり得、精密な電子ビームのショットが成形され、ウェーハの表面またはレチクルの表面などのレジストコーティングされた表面を露光するように操縦される。VSBでは、これらの形状は単純な形状であり、通常、特定の最小サイズと最大サイズの長方形に限定され、側面は直交座標系の面の軸に平行(つまり「マンハッタン」の方向)であり、特定の最小サイズ及び最大サイズの45度の直角三角形(つまり3つの内角が45度、45度、90度の三角形)である。所定の位置で、これらの単純な形状で電子の投与がレジストに発射される。このタイプのシステムの書き込み時間の合計は、ショット数と共に増加する。CPでは、直線、任意の角度の線形、円形、ほぼ円形、環状、ほぼ環状、楕円形、ほぼ楕円形、部分的に円形、部分的にほぼ円形、部分的に環状、部分的にほぼ環状、部分的にほぼ楕円形、または任意の曲線の形状などの複雑な形状である可能性があり、また複雑な形状の接続されたセットまたは複雑な形状の接続されたセットのばらばらのセットの群であり得る、様々な開口またはキャラクターがある、システムにおけるステンシルがある。電子ビームをステンシルのキャラクターに照射して、レチクル上により複雑なパターンを効率的に生成できる。理論的には、このようなシステムはVSBシステムよりも高速になり得る。なぜなら、これは時間のかかる各ショットを伴って、より複雑な形状を発射することができるためである。したがって、VSBシステムでのE字型パターンの発射は4ショットになるが、CPシステムの1ショットで同じE字型パターンを発射することができる。
成形荷電粒子ビームリソグラフィは、単一の成形ビームを使用できるか、または表面を同時に露光する複数の成形ビームを使用することができ、複数の成形ビームは、単一の成形ビームよりも速い書き込み速度を生成する。複数の荷電粒子ビームが同時に表面を露光する場合、荷電粒子ビームリソグラフィは頻繁にマルチビームリソグラフィと呼ばれる。マルチビームリソグラフィは、成形または非成形の荷電粒子ビームリソグラフィの複数のビームであり得る。
リソグラフィでは、リソグラフィマスクまたはレチクルは、基板の上にて統合される回路の構成要素に一致する幾何的なパターンを含む。レチクルを製造するために使用されるパターンは、コンピュータ支援設計(CAD)のソフトウェアまたはプログラムを利用して生成し得る。パターンを設計する際に、CADプログラムは、レチクルを作成するために、あらかじめ定められた一連の設計規則に従う場合がある。これらの規則は、処理、設計、及び最終用途の制限によって設定される。最終用途の制限の例は、必要とされる供給電圧で十分に動作することができないように、トランジスタの幾何学形状を定めることである。特に、設計規則は、回路デバイス間または相互接続線間の間隔公差を定義することができる。設計規則は、例えば、回路のデバイスまたはラインが所望されていない方法で互いと相互作用しないことを確実にするために使用される。例えば、ラインが互いに近づきすぎて、短絡を引き起こし得ないように設計規則が使用される。設計規則の制限は、とりわけ確実に製作できる最小寸法を反映する。これらの小さい寸法を参照するとき、通常、限界寸法の概念が取り入れられる。これらは、例えば1つのフィーチャの重要な幅または領域、または2つのフィーチャ間の重要な空間、または重要な空間領域として定められ、それらの寸法は精緻な制御を必要とする。
集積回路などの半導体デバイスの製作または製造において、光学的リソグラフィを使用して半導体デバイスを製造することができる。光学的リソグラフィは、リソグラフィマスクまたはフォトマスクまたはレチクルを使用してパターンを半導体またはシリコンウェーハなどの基板に転写し、集積回路(IC)を作成する印刷プロセスである。他の基板には、フラットパネルディスプレイ、ホログラフィックマスク、または他のレチクルさえも含まれる場合がある。従来の光学的リソグラフィは、通常、193nm以上の波長の放射線を使用する。極端紫外線(EUV)またはX線リソグラフィも光学的リソグラフィのタイプと見なされるが、従来の光学的リソグラフィの193nmよりもはるかに短い波長を使用する。1つまたは複数のレチクルは、集積回路の個々の層に対応する回路パターンを含み得、このパターンは、フォトレジストまたはレジストとして知られる放射線感受材料の層でコーティングされた基板の特定の領域に画像化することができる。従来の光学的リソグラフィ書き込み機は、通常、光学的リソグラフィプロセス中にフォトマスクパターンを4分の1の倍率に縮小する。したがって、レチクルまたはマスクに形成されるパターンは、基板またはウェーハの目的のパターンのサイズの4倍でなければない。
集積回路などの半導体デバイスの製作または製造では、非光学的方法を使用して、リソグラフィマスク上のパターンをシリコンウェーハなどの基板に転写することができる。ナノインプリントリソグラフィ(NIL)は、非光学的リソグラフィのプロセスの例である。NILでは、リソグラフィマスクと基板の接触により、リソグラフィマスクのパターンが基板に転写される。NILのリソグラフィマスクは、通常、荷電粒子ビームリソグラフィを使用した表面の製造の際に製造される。
集積回路などの半導体デバイスの製作または製造では、マスクレスの直接的な書き込みを使用して半導体デバイスを製造することもできる。マスクレスの直接的な書き込みは、荷電粒子ビームリソグラフィを使用してパターンを半導体やシリコンウェーハなどの基板に転写し、集積回路を作成する印刷プロセスである。他の基板には、フラットパネルディスプレイ、ナノインプリンティング用のインプリントマスク、さらにはレチクルさえも含まれる可能性がある。レイヤーの望ましいパターンは、この場合は基板でもある表面に直接書き込まれる。パターン化されたレイヤーが転写されると、そのレイヤーは、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、及び研磨などの他の様々なプロセスを経ることができる。これらのプロセスは、基板の個々の層を仕上げるために使用される。複数のレイヤーが必要な場合は、プロセス全体またはそのバリエーションが、新しい各レイヤーに繰り返される。いくつかのレイヤーは、光学的リソグラフィを使用して書き込むことができるが、他の層は、同じ基板を製造するためにマスクレスの直接的な書き込みを使用して書き込むことができる。また、所与のレイヤーのいくつかのパターンは、光学的リソグラフィを使用して書き込まれ得、他のパターンは、マスクレスの直接的な書き込みを使用して書き込まれ得る。最終的には、複数のデバイスまたは集積回路の組み合わせが基板上に存在する。次に、これらの集積回路は、ダイシングまたはソーイングによって互いに分離され、次いで個々のパッケージに固定される。より一般的なケースでは、表面のパターンを使用して、ディスプレイのピクセル、ホログラム、または磁気記録ヘッドなどのアーティファクトを定義できる。
光学的リソグラフィによる集積回路の製作における1つの目標は、レチクルを使用することによって、元の回路設計を基板上に再現することであり、マスクまたはフォトマスクと呼ぶ場合もあるレチクルは、荷電粒子ビームリソグラフィを使用して露光され得る表面である。集積回路の製作者は、つねに、半導体ウェーハのスペースを可能な限り効率的に使用しようと試みている。エンジニアは、集積回路がより多くの回路要素を含み、より少ない電力を使用することを可能にするために回路のサイズを縮小し続けている。集積回路の限界寸法のサイズが減少し、その回路密度が増加するにつれ、回路パターンまたは物理的設計の限界寸法は、従来の光学的リソグラフィで使用される光学的露光ツールの分解能の限度に近づく。回路パターンの限界寸法がより小さくなり、露光ツールの分解能の値に近づくにつれ、レジスト層で現像された実際の回路パターンに物理的設計を正確に転写することは困難になる。光学的リソグラフィプロセスで使用される光波長よりも小さいフィーチャを有するパターンを転写するため光学的リソグラフィを使用することを促進するために、光学近接効果補正(OPC)として知られるプロセスが開発されてきた。OPCは、光学回折及びフィーチャの近接するフィーチャとの光学的相互作用などの影響によって引き起こされる歪みを補償するために物理的設計を改変する。レチクルを用いて実行する分解能向上技術は、OPC及び逆リソグラフィ技術(ILT)を含む。
OPCは、パターンをマスクして元の物理的設計パターン、つまり設計と、基板上の最終的な転写回路パターンとの差異を減少させるため副次的分解能のリソグラフィのフィーチャをマスクパターンに追加し得る。副次的分解能のリソグラフィのフィーチャは、物理的設計の元のパターン、及び互いと相互作用し、また近接効果を補償して最終的な転写回路のパターンを改善する。パターンの転写を改善するために追加される1つのフィーチャを「セリフ」と呼ぶ。セリフは、特定のフィーチャの印刷の製造変動に対する精度または復元力を増強する小さいフィーチャである。セリフの例は、パターンの角に配置されて最終的な転写画像の角を鮮明にする小さいフィーチャである。基板上に印刷することを目的とするパターンは、主要フィーチャと呼ぶ。セリフは主要フィーチャの一部である。レチクルに書き込まれるOPCで装飾されたパターンを、主要フィーチャ、つまりOPC装飾前の設計を反映するフィーチャ、ならびにセリフ、ジョグ、副次的分解能アシストフィーチャ(SRAF)及びネガのフィーチャを含む可能性があるOPCフィーチャに関して説明することは、因習的である。OPCフィーチャは、光学的リソグラフィを使用してウェーハに転送することができる最小のフィーチャのサイズに基づいた規則などの、多様な設計上の規則に曝される。マスク製造プロセスから、またはレチクルにパターンを形成するためにキャラクター投影荷電粒子ビーム書き込みシステムを使用する場合は、ステンシル製造プロセスから、他の設計規則が生じる場合がある。
SRAFフィーチャが、パターンの転送を改善するために使用される。SRAFの表面製造プロセスに要求される精度または正確性は、主要フィーチャの精度または正確性よりも低い場合がよくある。ネガのフィーチャとしてOPCによって導入される同様の装飾がある。大きなフィーチャの内部には、リソグラフィのパフォーマンスを増強させるために狭いスペースが導入されている場合がある。狭いスペースは、同じように狭い線よりも確実に書き込むのが難しいため、これらのネガのフィーチャは、表面に確実に書き込むのが最も難しい部分になることがよくある。
荷電粒子ビームシステムを使用して表面上の領域においてパターンを露光する方法は、その領域の元の露光情報のセットを入力することと、目標の近接効果補正後(PEC)の最大線量を入力することが含まれる。目標のPEC後の最大線量は、最大書き込み時間に基づいている。局所パターン密度は、元の露光情報のセットに基づいて、パターンの領域に対して判定される。PEC前の最大線量は、目標のPEC後の最大線量に基づいて、局所パターン密度に対して判定される。元の露光情報のセットは、PEC前の最大線量で変更され、変更された露光情報のセットが作成される。
当技術分野で知られているような可変形状ビーム(VSB)荷電粒子ビームシステムの例を示している。 当技術分野で知られているような、マルチビーム露光システムの電気光学系の例の概略図を示している。 図3A~3Dは、当技術分野で知られているような、様々なタイプのショットを示している。 当技術分野で知られているようなマルチビーム荷電粒子ビームシステムの例を示している。 図5A~5Cは、当技術分野で知られているように、大きい形状及び小さい形状の両方を有する領域について、PEC後に線量がどのように影響を受けるかを示している。 図6A~6Cは、当技術分野で知られているように、大きい形状及び小さい形状の両方を有する領域について、PEC後に線量がどのように影響を受けるかを示している。 図7A~7Gは、当技術分野で知られているように、PEC反復及び結果として生じる長方形の線量曲線を示している。 図8A~8Fは、当技術分野で知られているように、高密度露光に対する低密度露光の例を示している。 図8A~8Fは、当技術分野で知られているように、高密度露光に対する低密度露光の例を示している。 図8A~8Fは、当技術分野で知られているように、高密度露光に対する低密度露光の例を示している。 図9A~9Bは、本開示の実施形態に従って追加された人工的バックグラウンド線量を伴う、低密度露光領域を示す。 図10A~10Fは、人工的バックグラウンド線量の例示的な実施形態を示す。 いくつかの実施形態による、局所パターン密度によって調整された例示的動的最大線量を示している。 当技術分野で知られているような、直線性補正を伴うラインの端部の線量マップを示している。 いくつかの実施形態による、PEC後の最大線量でのラインの端部の線量マップを示す。 いくつかの実施形態による、局所パターン密度による様々なPEC後の最大線量での線量マージンを示している。 いくつかの実施形態による、追加された人工的バックグラウンド線量での局所パターン密度による様々なPEC後の最大線量に対する線量マージンを示している。 当技術分野で知られているような、シリコンウェーハに集積回路などの基板を製造する際に使用するための表面またはレチクルを作成するための概念的なフロー図を示す。 いくつかの実施形態による、動的最大線量及び人工的バックグラウンド線量が計算されるフローを示す。 諸方法の実施形態で使用される例示的なコンピューティングハードウェアデバイスを示す。
本開示は、ショットまたは形状を露光するために必要な線量を低減することによって、荷電粒子ビームの書き込み時間を短縮するための方法を説明する。方法には、書き込まれるパターンの密度に基づいて、近接効果補正前(PEC前)の最大線量を動的に計算することが含まれる。方法は、比較的低密度の露光領域で人工的バックグラウンド線量をキャストすることにより、その領域でのPEC前の最大線量を計算することも含む。
荷電粒子ビームリソグラフィのコストは、レチクルやウェーハなどの表面にパターンを露光するのに必要な時間に直接関係している。従来、露光時間は、パターンを書き込むために必要な露光量に関連している。最も複雑な集積回路の設計の場合、レチクルのセットまたは基板のいずれかで層のパターンのセットを形成することは、費用と時間を要するプロセスである。したがって、レチクル及び他の表面において、それらを書き込むのに必要な時間を減らすことなどによって、これらのパターンを形成するために必要な露光を減らすことができることは、有利であろう。
ここで、図面を参照すると、同様の番号が同様の品目を指しており、図1は、表面112を製造するために可変形状ビーム(VSB)を使用する、荷電粒子ビームライタシステム、この場合は電子ビームライタシステム100などのリソグラフィシステムの実施形態を示している。電子ビームライタシステム100は、電子ビーム116を開口プレート118に向けて投射する電子ビーム源114を有する。プレート118には、電子ビーム116が通過することを可能にする開口120が形成されている。電子ビーム116が開口120を通過すると、それは、レンズのシステム(図示せず)によって、電子ビーム122として、別の長方形の開口プレートすなわちステンシルマスク124に向けられる、つまり偏向される。ステンシル124は、長方形や三角形などの様々な単純な形状を画定するいくつかの開口部すなわち開口126を形成している。ステンシル124に形成された各開口126は、シリコンウェーハ、レチクル、または他の基板などの基板134の表面112にパターンを形成するために使用され得る。電子ビーム130は、開口126の1つから出て、電磁または静電縮小レンズ138を通過し、これは、開口126から現れるパターンのサイズを縮小する。一般的に利用可能な荷電粒子ビームライタシステムでは、縮小係数は10~60である。縮小された電子ビーム140は、縮小レンズ138から出て、一連の偏向器142によってパターン128として表面112に向けられる。表面112は、電子ビーム140と反応するレジスト(図示せず)でコーティングされている。電子ビーム122は、開口126の可変部分に重なるように向けることができ、パターン128のサイズ及び形状に影響を与える。ブランキングプレート(図示せず)を使用して、ビーム116または成形ビーム122を偏向させて、各ショットの後の期間に電子ビームが表面112に到達するのを防ぐことができるようにし、そのときビーム122を向けるレンズ及びデフレクタ142が次のショットのために再調整され得る。ステンシル124は、ビーム116を偏向させてステンシル124の非開口部分に当たるようにすることにより、それ自体が偏向器として機能することができる。典型的には、ブランキングプレートは、電子ビーム116を偏向させて、それが開口120を照射するのを防ぐように配置される。従来、ブランキング期間は、固定された時間の長さであり得るか、またはそれは、例えば、次のショットの位置に対して偏向器142をどれだけ再調整しなければならないかに応じて変化し得る。VSBシステムは、キャラクターがまさに単純なキャラクターであり、通常は長方形または45度の直角三角形である、キャラクター投影の特殊な(単純な)ケースと考えることができることに留意されたい。キャラクターを部分的に露光させることも可能である。これは、例えば、粒子ビームの一部をブロックすることによって行うことができる。本開示では、部分的な投影が、キャラクター投影とVSB投影の両方を意味するために使用される。
電子ビームライタシステム100では、基板134は、可動プラットフォームすなわちステージ132に取り付けられている。ステージ132は、基板134を再配置することを可能にし、荷電粒子ビーム140の最大偏向能力またはフィールドサイズよりも大きいパターンが、一連のサブフィールドで表面112に書き込まれ得るようにし、それにおいて各サブフィールドは、ビーム140を偏向させる偏向器142の能力内にある。一実施形態では、基板134はレチクルであり得る。この実施形態では、レチクルは、パターンで露光された後、それがリソグラフィマスクまたはフォトマスクになる様々な製造工程を経る。次に、マスクを光学的リソグラフィ機で使用して、一般にサイズが縮小されたレチクルパターン128の画像をシリコンウェーハ上に投影して、集積回路を製造することができる。より一般的には、マスクは、パターン128を基板(図示せず)に転写するために別のデバイスまたは機械で使用される。
電子ビームライタシステムなどの荷電粒子ビームライタのショットの線量は、VSB、CP、またはマルチビーム機のいずれであっても、このVSBの例ではビーム源114の強度とショットごとの露光時間の関数である。通常、ビームの強度は固定されたままであり、露光時間は可変のショットの線量を得るために変化する。露光時間は、中距離効果補正(MEC)、ローディング効果補正(LEC)やフォギング効果補正(FEC)などの様々な長距離効果、及び近接効果補正(PEC)と呼ばれる過程での後方散乱を補うよう、変化させてよい。本開示では、PECという用語は、MEC、LEC、FEC、及び後方散乱補正を説明するために使用される。電子ビームライタシステムでは、通常、基本線量と呼ばれる全体的な線量を設定できる。これは、露光の通路のすべてのショットに影響する。一部の電子ビームライタシステムは、電子ビームライタシステム自体の中で線量補償計算を実行し、各ショットの線量を入力ショットリストの一部として個別に割り当てることを許容しないため、入力ショットには、割り当てられていないショット線量がある。このような電子ビームライタシステムでは、PECの前に、すべてのショットに基本線量がある。他の電子ビームライタシステムでは、ショットごとに線量を割り当てることができる。ショットごとの線量の割り当てを可能にする電子ビームライタシステムでは、利用可能な線量レベルの数は64~4096以上である場合もあれば、3~8レベルなどの比較的少数の利用可能な線量レベルがある場合もある。本開示のいくつかの実施形態は、線量のレベルの割り当てを可能にする荷電粒子ビームの書き込みシステムでの使用を対象としている。
荷電粒子ビームシステムは、複数の個別に制御可能なビームすなわちビームレットで表面を露光させることができる。図2は、3つの荷電粒子ビームレット210が存在する電気光学系の概略図を示している。各ビームレット210に関連付けられているのは、ビームコントローラ220である。各ビームコントローラ220は、例えば、関連するビームレット210が表面230に衝突することを可能にすることができ、またビームレット210が表面230に衝突するのを防ぐこともできる。いくつかの実施形態では、ビームコントローラ220はまた、ビームレット210のビームぼけ、倍率、サイズ、及び/または形状を制御することができる。本開示では、複数の個別に制御可能なビームレットを有する荷電粒子ビームシステムは、マルチビームシステムと呼ばれる。いくつかの実施形態では、単一のソースからの荷電粒子を細分して、複数のビームレット210を形成することができる。他の実施形態では、複数のソースを使用して、複数のビームレット210を作成することができる。いくつかの実施形態では、ビームレット210は、1つまたは複数の開口によって成形され得るが、他の実施形態では、ビームレットを成形するための開口がなくてもよい。各ビームコントローラ220は、関連するビームレットの露光期間を個別に制御することを可能にすることができる。一般に、ビームレットは、表面230に当たる前に、1つまたは複数のレンズ(図示せず)によってサイズが縮小され、表面230は通常、レジストでコーティングされる。いくつかの実施形態では、各ビームレットは別個の電気光学レンズを有し得るが、他の実施形態では、場合によりすべてのビームレットを含む複数のビームレットが、電気光学レンズを共有する。
図3A~3Dは、様々なタイプのショットを示している。図3Aは、長方形のショット310の例を示している。VSB荷電粒子ビームシステムは、例えば、様々なx及びyの寸法で長方形のショットを形成できる。図3Bは、この例では円形であるCPショット320の例を示している。八角形などのCPショット、または文字Eなどのより複雑な形状として、任意の形状を表すことができる。この開示の目的では、ショットとは、ある期間にわたるある表面領域の露光である。この領域は、複数の不連続な小さな領域で構成され得る。ショットは、複数の他のショットから構成することができ、これはオーバーラップする場合もしない場合もあり、同時に露光する場合もしない場合もある。ショットは、指定された線量を含む場合もあれば、線量が指定されていない場合もある。ショットは、成形ビーム、非成形ビーム、または成形ビームと非成形ビームの組み合わせを使用できる。
図3Cは、円形パターン352のアレイであるショット350の例を示している。ショット350は、単一の円形CPキャラクターの複数のショット、円形の開口のアレイであるCPキャラクターの1つまたは複数のショット、及び円形の開口を使用する1つまたは複数のマルチビームショットを含む、様々な方法で形成され得る。図3Dは、長方形のパターン362及び364のまばらなアレイであるショット360の例を示している。ショット360は、複数のVSBショット、CPショット、及び長方形の開口を使用する1つまたは複数のマルチビームショットを含む、様々な方法で形成することができる。マルチビームのいくつかの実施形態では、ショット360は、他のマルチビームショットの複数のインターリーブされたグループを含み得る。例えば、パターン362を同時に撃つことができ、次にパターン364を、パターン362とは異なる時間に、同時に撃つことができる。
図4は、荷電粒子ビーム露光システム400の実施形態を示している。荷電粒子ビームシステム400は、複数の個別に制御可能な成形ビームが同時に表面を露光することができるマルチビームシステムである。マルチビームシステム400は、電子ビーム404を生成する電子ビーム源402を有する。電子ビーム404は、静電要素及び/または磁気要素を含み得る凝縮器406によって、開口プレート408に向けられる。開口プレート408は、電子ビーム404によって照射され、電子ビーム404が通過して複数の成形ビームレット436を形成する複数の開口410を有する。したがって、マルチビーム荷電粒子ビームシステム400は、荷電粒子ビーム源402及び開口プレート408を含み、開口プレート408は、荷電粒子ビーム402源が照射する複数の開口410を含む。いくつかの実施形態では、マルチビーム荷電粒子ビームシステムは、単一の開口プレート408を含む。いくつかの実施形態では、開口プレート408は、数百または数千の開口410を有し得る。図4は、単一の電子ビーム源402を備えた実施形態を示し、他の実施形態では、開口410は、複数の電子ビーム源からの電子によって照射され得る。図4に示すように、開口410は円形であり得、または図1に示されるような長方形の開口120などの異なる形状であり得る。次に、ビームレット436のセットは、ブランキングコントローラプレート432を照らす。ブランキングコントローラプレート432は、複数のブランキングコントローラ434を有し、そのそれぞれは、ビームレット436と位置合わせされている。各ブランキングコントローラ434は、ビームレット436が表面424に衝突することを可能にするか、またはビームレット436が表面424に衝突するのを防ぐために、関連するビームレット436を個別に制御することができる。ビームが表面に当たる時間の長さは、そのビームレットによって適用される総エネルギーまたは「線量」を制御する。通常、マルチビームライタは、露光する領域全体をスキャンする。したがって、書き込み時間は、形状の数や形状の複雑さに関係なく一定である。
基板426は、アクチュエータ430を使用して再配置することができる可動プラットフォームまたはステージ428に配置される。ステージ428を移動することにより、ビーム440は、複数の露光またはショットを使用して、ビームレットグループ440によって形成される最大サイズのパターンの寸法よりも大きい領域を露光することができる。いくつかの実施形態では、ステージ428は、露光中に静止したままであり、その後、次の露光のために再配置される。他の実施形態では、ステージ428は、継続的に可変速度で移動する。さらに他の実施形態では、ステージ428は、継続的に、しかし一定の速度で移動し、これにより、ステージの位置決めの精度を高めることができる。ステージ428が継続的に移動するこれらの実施形態では、一組のデフレクタ(図示せず)を使用して、ステージ428の方向及び速度に一致するようにビームを移動し、ビームレットグループ440が、露光の間、表面424に対して静止し続けるようにし得る。マルチビームシステムのさらに他の実施形態では、ビームレットグルーの個々のビームレットは、ビームレットグループの他のビームレットとは独立して、表面424を横切って偏向され得る。
他のタイプのマルチビームシステムは、複数の荷電粒子ビーム源を使用してガウスビームレットのアレイを作成することなどによって、複数の非成形ビームレット436を作成することができる。本開示は、荷電粒子ビームリソグラフィに関して説明されているが、説明されている方法は、レーザーリソグラフィ、特にマルチビームレーザーリソグラフィにも適用され得る。
線量は、ビームの電流密度を動的に変更するのではなく、露光時間を制御することによって、あらゆる高精度のeBeamライタで制御される。実際には、露光時間は電流密度よりもはるかに正確に制御できることが理解されている。このため、高線量の露光は、低線量の露光と比較して、ビームがオンになっている時間を増やすことによって達成される。eBeamライタが可変の電流密度を持つことが考えられる。この開示の本実施形態は、線量の制御が露光の時間を制御することによって少なくとも部分的に達成される場合に適用可能である。ビームレットグループの各ビームレット436の露光時間は、ブランキングコントローラ434を使用して個別に制御することができる。したがって、マルチビーム書き込み時間は、適用する必要のある最大線量によって決まる。ストライプなどの各ビームレットグループでステージが停止するライタでは、最高線量のビームレットがストライプ全体の露光時間に影響する。一定速度のステージを備えたライタでは、速度は設計全体で一定であってよく、設定は、レチクルのサブセット、完全なレチクルもしくはウェーハ、またはその他いずれかの表面である場合がある。あるいは、一定期間、部分的に一定であってもよい。したがって、ストライプの最高線量のビームレットは、ステージの速度を決定し、したがって、デザイン全体または表面全体の書き込み時間を決定することができる。速度が可変のステージでも、安定性をもたらすべくステージを重くしていることから、速度の変化を顕著に速くすることができず、加速または減速に多くのエネルギーを消費し、振動やブレの原因となる。
高度なプロセスノードでは、マスクに正確に書き込む必要のあるフィーチャは、前方散乱と、商用価値を帯びるのに十分な速さでマスクを印刷するために使用される典型的なレジストのレジストブラーとの一般的な組み合わされたブラー半径と比較して、サイズが3倍未満である。典型的な先端のマスクでは、幅が60nm未満の副次的分解能アシストフィーチャ(SRAF)がマスクに書き込まれ、結合されたブラーの範囲は20nmを超える。EUVリソグラフィ用のマスクでは、一般に、幅が約30nmのSRAFが通例マスクに必要になると予想される。マスクの形状を生成する光近接効果補正(OPC)または逆リソグラフィ技術(ILT)のステップでは、より小さな形状(SRAFなど)を正確に印刷できれば、ウェーハのパフォーマンスをさらに向上させることができる。しかし、OPC/ILTによって生成されたマスクの形状が、マスクのプロセスのバリエーション全体にわたって、実際にマスク上で確実に生成できることも重要である。トレードオフを表す、マスクの最小許容フィーチャの幅や最小許容フィーチャの間隔といったマスクの設計の規則が確立される。OPC/ILTは、出力がマスクの設計の規則に準拠していることを保証する必要がある。マスクメーカーは、特定の合意された仕様に合わせて、製造のバリエーション全体でマスクの設計ルールに正確に準拠するマスクを製造する必要がある。形状が狭いと、マスクに書き込むのがいっそう難しくなる。小さな正方形の形は書くのが最も難しい。ただし、小さな正方形の形状はウェーハの性能に与える影響が小さいため、通常、先端のマスクの処理では、狭いSRAFを正確に書き込む必要性は重要なものである。
より大きな他の形状の存在下、同じマスクで、マスクにそのような小さな形状を書き込むことは、通常、線量の変調によって達成される。全体的なマスク書き込み時間は、マスクのコストの主要な要因の1つであるため、より大きな「主要な」フィーチャに対して望ましい精度を達成するのに十分な感度を持つレジストが、精度と速度/コストのトレードオフを表すために、選択される。しかし、SRAFやその他の小さな形状を書くことも正確に行う必要があり、SRAFの線量を増強、つまり増加、または部分的に増強して、SRAFの印刷を改善するのが一般的な手法である。
業界では、通常の線量が増強される量は、典型的には通常の線量の1.2倍から通常の線量の3倍まで変化し得るが、線量は通常の線量の任意の倍数であり得る。より多くの増強はより長い書き込み時間につながるが、より小さな形状のより正確な印刷につながる可能性がある。図5A~5Bは、十分に大きい形状502及びその線量プロファイル(すなわち、線量曲線)512と、より小さいSRAF形状506及びその線量プロファイル522との間の違いを示し、両方のショットは、それぞれ形状502及び506のショットの輪郭を通して線504及び508に沿って測定された通常の線量であり、線514によって示されるのと同じレジスト閾値を有する。形状502及び506は、幅よりもはるかに長い長方形の形状を示すために分割されており、通常の線量を使用して、通常の線量の半分の閾値で各形状をレジストに照射する。レジスト閾値を下回る線量は印刷されない。
VSB機では、ショットのアウトラインは、ライタに向けられたeBeamの投影の形状である。マルチビーム機では、ショットの輪郭はライタに向けられた所望の形状の形状をしており、ピクセルへのラスター化と、形状の描画に使用されるピクセルに応じて様々な線量のeBeam投影に至る。理解を簡素化するために、本開示では、線量プロファイルは、機械がVSBであるかのように描かれ、説明されているが、実施形態はマルチビームにも適用され得る。マルチビーム機では、ピクセルへのラスター化は線量プロファイルをさらに複雑なものにし、ピクセルグリッドに対する形状の位置に依存する。この開示の概念を理解するには、マルチビームの書き込みのこれらの追加の複雑さは妥当ではない。
十分に大きい形状の場合、線量プロファイル512は通常の線量でプラトーに達する。このプラトーは、形状502の幅がさらに大きくても同じ線量である。形状506によって示されるようなより小さな形状の場合、線量プロファイルのピークを通常の線量に到達させるのに十分なエネルギーがない。線量プロファイル522の形状はプラトーがない。さらに、線量プロファイル522は、形状506の幅と同じ位置でレジスト閾値514を超えない。十分なエネルギーがないため、レジストへ露光する形状は、所望の幅よりも狭くなる。
形状502及び506の左端の線量マージンは、それぞれ、エッジの傾き516及び528によって示されている。傾き528は傾き516より緩い。形状506が狭いほど、線量プロファイルは低くなり、傾き528は緩くなる。形状502が、線量プロファイル512がプラトーに交わるのに十分な幅になると、広目の形状は、傾き516を変更しない。傾きが緩いほど線量マージンが劣悪になる、つまり、形状の幅である限界寸法(CD)は、特定の線量変動が与えられると、より多くの変動を受ける可能性がある。ここでの線量マージンという用語は、一連の荷電粒子ビームショットによって定められるパターンの、線量に関連する変動を含むあらゆるタイプの製造での変動に対する許容度を表す。より良好の線量マージンは、より高い耐性を示す。当業者にとって、線量の変動に対する回復力は、製造の変動の多くの原因の優れた代用であると、一般に理解されている。線量マージンによって示される製造の変動に対する弾力性を改善するための、1つの従来の方法は、図5Cの線量プロファイル532によって示されるように、より小さな形状に対して通常よりも高い基本線量を使用することである。レジスト閾値514を超える線量プロファイル532の点の間の距離によって示されるCDが、目標の形状506の所望の幅となるように線量が選択されるこの例では、1.0を超える基本線量が使用される。業界では、線量の調整と形状の調整の多くの組み合わせが使用されている。図5Cは、線量の調整のみが展開される一例である。この例では、形状506について線量が計算されると、線量プロファイル532の傾き538を計算することによって、閾値514を超える線量マージンを測定することができる。エッジの傾き538は、エッジの傾き528よりも良好である(すなわち、より急な傾きを有する)が、それでもエッジの傾き516よりも劣悪な可能性があることに留意されたい。
線量マージンは、コントラストがあるため、やはり小さなフィーチャの印刷適性にとって重要である。コントラストは、露光領域のすぐ近くの内部と、露光領域のすぐ近くの外側のレジストに適用されるエネルギー量の差である。半導体マスク処理のすぐ近くとは、数ナノメートルから数十ナノメートルであり得る。線量プロファイルは対象の長さスケールの連続関数であるため、線量のマージンとコントラストは、互いに高度に相関している。コントラストが不十分な場合、形状が分解できなくなる。与えられたレジストで確実に分解できる形状の最小のサイズを小さくすることは、マスク製造の経済性にとって重要である。
表面に形状を印刷するためにeBeamライタが直接キャストする必要がある線量の量に影響を与える別の要因がある。これは近接効果補正(PEC)と呼ばれ、対象の領域の周りのeBeamの「ショット(複数)」によって放出された電子の後方散乱を補正する。図6A~6Bは、PECが適用された後の図5A~5Bのショットに対応する、結果として生じる線量を示す。図6Aにて、形状502及びその周囲のショットによってキャストされる、典型的には10μmのオーダーのショットまでの距離範囲内にある電子の後方散乱は、後方散乱618を表す破線によって示される。周辺領域に露光が密集している場合、後方散乱618は高次になる。周囲の領域がまばらに配置されている場合、後方散乱618はより低く、おそらくゼロに近くなる。PECは、一般的に実施されているように、十分に大きい形状502に対して適用線量を繰り返し最適化するので、ショットの形状502に適用される線量は、後方散乱によってもたらされる追加の線量があるにもかかわらず、形状502の幅が正確に印刷されるようになる。露光(後方散乱を除く)の補正された線量プロファイル612は、線量プロファイル512よりも線量が少ない。エッジの傾き616は、エッジの傾き516よりも緩い。隣接する領域から受ける後方散乱の量が多いと、線量のマージンが劣悪化する。図6Bは、SRAFなど、より小さな形状に適用された同じPECを示している。PECは通常、すべてのサイズのすべての形状に同じ方法で適用されるため、PECが適用された後、結果として得られるPEC後の線量プロファイル622の大きさは、線量プロファイル522と比較して、さらに小さくなる(後方散乱618の水平な破線で示される、後方散乱からの基本線量は含まれない)。したがって、エッジの傾き628は、エッジの傾き528よりもさらに緩くなり、エッジの傾き616よりも実質的に緩くなり、線量マージンを劣悪化させ、これらの形状は、製造の変動の影響をはるかに受けやすくする。図6Cは、図5Cに記載されたショットのPEC後の線量プロファイルを示し、それにおいて所望の限界寸法(CD)を満たすように線量が増加されていた。エッジの傾き638は、PECが適用される前のPEC前のエッジの傾き538よりも緩い(より劣悪)が、通常の線量であるPEC後のエッジの傾き628よりも良好である。
後方散乱は、レジスト及びレジストの下の材料と衝突した後に「跳ね返る」電子などの荷電粒子によって引き起こされる。後方散乱の有効半径は、例えば、ショットのサイズよりもはるかに大きい10ミクロンの場合がある。したがって、ショットのその有効半径内の近くのショットからのすべての後方散乱は、ショットの位置での線量を追加する。補正しないと、後方散乱によって、ショットに意図したショットの線量よりも多くの線量が追加され、パターンがより広く、露光密度が高い領域ではさらに大きく、記録される可能性がある。
図7A~7Gは、当技術分野で知られているように、後方散乱効果を補正するために各形状を発射するために使用される線量を補正するためにPECによって適用される反復シーケンスを示す。図7Aは、長方形のショット702の輪郭の例を示している。断絶は、ショット702が垂直方向に任意に長くなり得ることを示している。ショット702の幅、「g」と「h」の間の距離は、説明のために、この例では100nmから300nmの間であると想定され、一般的に使用されるマスク製造プロセスを想定しており、約10μmの想定される後方散乱範囲よりも大幅に小さい。マルチビーム機のショットサイズは通常5~20nmである。マルチビーム機の場合、ショットの集合を組み合わせて、ショット702などの形状を発射する。後方散乱は、ショットの集合と同じ方法で単一のショットに適用され、PEC補正は同じ方法で適用される。図7Bは線量グラフ710の例を示し、後方散乱がほとんどない、通常のショットの線量でのショットの輪郭702を通る線704に沿った線量を示す。他の長距離効果はまた、図7Bのバックグラウンドの露光に何も寄与しないと想定され、ほぼゼロのバックグラウンド露光レベルにつながる。レジストに供給される総線量はy軸に示され、通常の線量の1.0倍である。バックグラウンドの露光がほぼゼロであるため、総線量とショット線量はほぼ同じである。線量グラフ710はまた、通常の線量の半分でのレジスト閾値714を示している。x方向の線量グラフ710によって表される形状のCDの変化は、それがレジスト閾値714と交差するx座標「g」及び「h」における線量曲線(すなわち、線量プロファイル)712の傾きに反比例する。閾値714での線量曲線712のエッジの傾き716は、直角三角形の斜辺として示されている。
ほぼゼロのバックグラウンドの露光の図7B条件は、実際の設計を反映していない。実際の設計では、通常、ショット702の後方散乱の距離範囲内に他の多くのショットがある。図7Cは、50%の露光密度に起因する後方散乱728を伴う通常の線量でのショットの線量グラフ720の例を示している。線量グラフ720において、線量曲線722は、バックグラウンド露光(後方散乱728)に加えて、ショット702の断面の線量を示している。閾値714での線量曲線722のエッジの傾き726は、直角三角形の斜辺として示されている。曲線722のCDの変動は、エッジの傾き716と比較して、曲線722が点「g」及び「h」を超えてレジスト閾値714と交差する、より緩いエッジの傾き726によって示されるように、曲線712のCDの変動よりも大きい(劣悪)。エッジの傾き726がより緩いものであるのは、後方散乱728によって引き起こされるバックグラウンド露光のために線量マージンがより劣悪になっている線量曲線の下部と、レジスト閾値が交差していることによる。レジスト閾値714での線量曲線722の交点は、点「g」及び「h」よりも広く、印刷されたCDが所望のサイズよりも大きいことを示している。後方散乱728は、レジストを「前露光」するので、後方散乱728の存在下で通常の線量で線量曲線722を発射すると、CDが所望よりも大きく印刷される。
図7Dは、PEC後の新しい線量曲線732を示している。中間線量グラフ725は、依然として50%の後方散乱728を示しているが、線量曲線732が減少し、現在の後方散乱で線量曲線732とレジスト閾値714との交点が正確に「g」及び「h」で測定されるように計算されている。これは、後方散乱の存在に起因する過剰投与を修正するためのPECの最初の反復の結果である。この反復では、周囲のすべてのショットに対してPECが実行された後、新しい後方散乱がどうなるかを知る方法がないため、後方散乱の量は後方散乱728と同じであると想定される。PECの計算は、レジスト閾値714が「g」及び「h」で線量プロファイル732と交差するように、ショット702に適用される線量を減少させる。閾値714での線量曲線732のエッジの傾き736は、直角三角形の斜辺として示されている。PECにより線量マージンが劣悪化するため、傾き736は傾き716よりも緩いことに留意されたい。
図7Eの線量グラフ730は、PECが複数の反復を必要とする理由を示している。図7Dに示すように実行されたPECの計算は、後方散乱738が後方散乱728と同一である場合に限り、「g」と「h」を正確にヒットする。ただし、PECはすべてのショットに対して実行されるため、このショット周囲のすべてのショットにPECが適用され、各ショットの線量が減少するため、後方散乱738が減少する(このショットの線量が曲線722から曲線732に減少したので)。新しい後方散乱が後方散乱738として図7Eに示されている。後方散乱738は後方散乱728よりも低い。見られるように、後方散乱の減少は、元のショット702の所望のサイズよりも小さい位置合わせされたパターンのサイズをもたらす。
PECの2回目の反復では、PECをこの新しい(低減された)後方散乱量(後方散乱738)で再計算することにより、これを修正する。後方散乱が低くなった今、正しいCDでショット702のような形状を印刷するには、目標「g」と「h」に当たる線量を増やして線量プロファイル732を増強する必要がある。
図7Fの線量グラフ740に示されるように、設計のすべての形状におけるPECの線量を増強することは、線量曲線732よりも高い線量プロファイル742をもたらす。続いて、近傍の他のすべての形状が調整された後、後方散乱738よりも高い後方散乱748が生じる。PECの3回目の反復では、この新しい(増加した)後方散乱量を使用して、もう一度PECを再計算することにより、これを修正する。これらの反復は、図7Gの線量グラフ750の線量レベル758で示されるような後方散乱量の所定の許容範囲、及び閾値714で所望であるサイズの元のショット702を正しく生成する線量プロファイル752に収束するまで、交互に続く。次に、収束した線量を使用してマスクの個々の形状を発射し、PECの結果として、すべての形状の後方散乱補正された線量のセットを生成する。
図8A~8Bは、PECが孤立した形状にどのように影響するかの例を示している。図8Aでは、形状810及び815は、他の方法では他の露光によって占められていない領域内の孤立したパターンである。初期線量は、両方の形状の通常の線量の1.0倍で計算できる。図8Bは、PEC後に計算された線量が依然として通常の線量の約1.0であり、形状810及び815によってもたらされる後方散乱から以外の周囲の後方散乱がない結果として実質的に影響を受けないことを示す。
図8C~8Dは、PECが50%の露光密度、つまり、領域の50%がパターンで覆われている領域の形状に、どのように影響するかの例を示している。図8Cでは、形状820、822、824、826及び828が繰り返される線及び空間パターンで示され、投与された形状及びその間の空間は、すべての方向に幅が等しく、線及び空間パターンは有効半径10μmで繰り返される。各々の形状で、初期の線量は、通常の線量の1.0倍で計算できる。図8Dは、例として、隣接する形状からの周囲の後方散乱のために、PEC中に、反復パターンのすべての形状について、線量が通常の線量の0.67に減少することを示している。
図8E~8Fは、PECが高密度領域の形状にどのように影響するかの例を示している。図8Eでは、形状830、832、及び834が示され、ここで、形状832は、対象の形状である。形状830及び834は、幅が有効半径10μmを超える広い露光の領域であり、パターンは有効半径10μmだけ上下に拡張される。各々の形状で、初期の線量は、通常の線量の1.0倍で計算できる。図8Fは、PEC中の隣接する形状からの周囲の後方散乱のために、線量が、例えば、形状832の通常の線量の0.5に減少することを示している。PECは、各露光の線量を数学的に適切な量だけ減らして、CDを、十分に大きな形状の後方散乱がない場合のCDと等しくする。したがって、PECは後方散乱の影響を効果的に消失させる。後方散乱は常に正の値である。したがって、PECは常に線量を減らして補償する。
PECはガウス分布を「平坦化」することで機能するため、後方散乱が大きい領域で形状を露光するために必要な線量は大幅に少なくなる。後方散乱が大きい領域(形状が高い線量密度に囲まれている)と後方散乱がない領域(形状が低い線量密度に囲まれている)の必要な線量の差は、2倍以上になる可能性がある。PECの計算の最初の反復でのピクセルまたは通常の線量のショットの変更線量Dpecは、次の式で得られる。
Figure 2022533790000002
通常の線量の0.5のレジスト閾値でのTempは、レジスト閾値での前方散乱の割合であり、エータ(η)は正規化定数である。Tempが0.5、エータ(η)が0.5、露光密度が100%の場合、Dpec は0.5と計算される。SRAFが通常の二倍の線量(2.0倍)で発射されるように割り当てられているが、後方散乱が大きい高密度の領域にある場合、ピクセルまたはショットは、PEC計算の最初の反復後、0.5×(2.0)=1.0として通常の線量の約1.0倍になる。
これは、その領域が後方散乱からのエネルギーに大きくさらされているためである。したがって、レジストを露光するための閾値に到達するのに十分なエネルギーをキャストするために必要なのは、このピクセルまたはショットからのエネルギーのほんの一部である。
後方散乱がほぼゼロの領域は、ショットあたりのeBeam線量が最も多くなる。したがって、一定の書き込み時間のマルチビームライタでは、マシン全体の書き込み時間は、通常、SRAFなどの狭い形状の場合に、最も高い線量で孤立したパターンを書き込むことによってペースが調整される。一般的なテストマスクには、高密度領域のパターンと低密度領域のパターンが混在している。典型的な生産マスクは、はるかに少ない変動がある。一部のマスクには、すべて高密度のパターンがある(例えば、平均で70%の露光密度)。他のマスクには、すべてまばらなパターンがある(例えば25%の平均露光密度)。しかし、多くの生産マスクはそれらにおいていくつかのテストパターンを組み合わせており、低い変動は保証できない。いずれにせよ、マルチビーム書き込み速度は、特に一定の書き込み時間のライタの場合、後方散乱キャストが少ない環境で高線量を組み合わせたピクセルによって決定される。程度は低いが、それでも依然重要なことに、VSBライタや場合によってマルチビームライタなどの可変書き込み時間ライタの書き込み速度は、後方散乱が少ない環境で、高次に増強した線量を組み合わせたショットによって、ペースが調整される。
人工的バックグラウンド線量
いくつかの実施形態では、人工的バックグラウンド線量が、別段では低い後方散乱の領域に導入されて、ピクセルまたはショット線量を低減し、したがって全体的な書き込み時間を短縮する。結果として、ピクセルまたはショットはPEC後の線量が低くなり、マスクまたはマスクのセクションのピクセルまたはショットの線量の最大値が減少し、ステージの移動速度が増加し、それによって全体的な書き込み時間が大幅に短縮される。
図9A~Bは、いくつかの実施形態による人工的バックグラウンド線量の導入が、図8C及び8Dと同様の50%密度をどのように模倣できるかを示す。図9Aは、図8Aと同様の孤立した形状910及び915を示しているが、形状913の形で人工的バックグラウンド線量が追加されている。初期線量は、以前の形状910及び915の通常線量の1.0倍に設定され、人工的バックグラウンド線量は、この例では形状913の通常線量の0.30である。この新しい形状913に適用される線量は、印刷の閾値を下回っていることに留意することが重要である。図9Bは、図8Dと同様の、PEC後の通常の線量の0.67における類似した線量の減少を示し、以前に分離された形状910及び915を印刷するために必要な全体的な線量を低減する。いくつかの実施形態では、形状913もまた、通常の線量の0.20に減少する。この単純化された例では、形状913は、形状910及び915から離れて後方散乱半径を超えて延びると想定されている。ほとんどの場合、形状913は、形状910及び915のすぐ周囲の領域にのみ人工的バックグラウンド線量を追加するように、サイズが大幅に縮小される。形状910、913、及び915を取り巻く他の形状に対する他のそのような人工的バックグラウンド線量は、これらの形状に実際の後方散乱をもたらす。本実施形態では、そのようなすべてのエネルギーの寄与は、形状910及び915の実際のPEC後の線量が計算されるときに、PECを使用して計算される。いくつかの実施形態では、形状913の線量は、PECのすべての反復によって変更されるわけではない。いくつかの実施形態では、形状913の線量は、PECのすべての反復によって変更される。
人工的バックグラウンド線量は、慣習により設定されるいくつかのパラメータに従って後方散乱が十分に低く、PEC後の線量マージンが「十分に良好」よりも十分に優れている領域に追加できる。図10A~10Fは、人工的バックグラウンド線量のいくつかの例を示しており、追加された人工的バックグラウンド線量は、所望のパターンと組み合わせて発射される付加的なパターン(寄せ集めの塗りつぶし線のパターン)の形態である。人工的バックグラウンド線量は閾値以下の露光である。つまり、印刷に必要なレジスト閾値よりも低い線量である。図10Aは、表面に印刷される所望のパターン形状1000を含む領域上に人工的バックグラウンド線量形状1001をキャストして、その領域のパターンを完全に覆うことができる方法を示している。図10Bは、領域における人工的バックグラウンド線量の形状1002を示しているが、所望のパターン形状1000がすでに存在し、パターンを覆わないようにしている。図10Cは、所望のパターン形状1000をある程度のマージン、例えば、パターンの縁から最大3シグマ離れて囲む領域における人工的バックグラウンド線量の形状1003を示している。図10Dのパターン形状1010のような十分に大きいパターンの場合では、人工的バックグラウンド線量の形状1011及び1012は、表面に印刷されるパターン形状1010のエッジからの所定の距離を超えてのみ適用される。エッジ、ラインの端部、SRAFなどのフィーチャの周囲にマージンを作成し、この方法で適用される人工的バックグラウンド線量は、あらゆる場所でバックグラウンド露光をただ増やすよりも優れている場合がある。あるいは、人工的バックグラウンド線量は、例えば、図10E及び図10Fのそれぞれに示されるように、領域のどこにでも追加することができ、人工的バックグラウンド線量の形状1004及び1005が、それぞれ、所望のパターンの形状1000を部分的にカバーしている。
動的最大線量
人工的バックグラウンド線量は、レジスト閾値を下回る特定の線量レベルまで安全に追加できる。マシンの書き込み時間は、PEC後の最大線量によって異なる。指定された書き込み時間を確保する1つの方法は、PEC後の最大線量を制限し、それを超えるいずれの線量も切り捨てることである。ただし、線量を切り捨てると、印刷エラーが発生する可能性がある。本実施形態では、動的最大線量(DMD)と呼ばれ得る方法が説明され、ここでは、目標のPEC後の最大線量が選択され、PEC前の最大線量を判定するために使用される。計算は、パターン密度に基づいて動的に実行され、結果として得られるPEC後の線量は、選択された(目標の)PEC後の最大線量を超えないため、線量を切り捨てる必要がなくなる。いくつかの実施形態では、目標のPEC後の最大線量が入力される。図11は、例示的なシナリオとして50%の密度(すなわち、値0.5)での線空間パターンを使用して、目標のPEC後の最大線量を満たすためにPEC前の最大線量を計算する例を示している。この実施形態では、1.5のPEC前の最大線量1110は、従来のPEC方法に従って、1.07のPEC後の最大線量1120をもたらす。PEC前の最大線量1110からのPEC後の最大線量1120のこの計算は、図11の下向きの矢印によって示されている。1.5のPEC前の線量は、通常、それらの所望のサイズよりも大きいフィーチャを印刷するであろうが、そのような線量は、直線性補正を実行する方法として、小さなフィーチャに対して望ましい場合がある。いくつかの実施形態によれば、使用者は、PEC後の線量1.07が、書き込まれるすべてのパターン密度に対して十分であると決定し得、目標のPEC後の最大線量として線量1120(線量値1.07)を選択し得る。その結果、他のパターン密度(例えば、10%、20%など)の場合、図11の上向き矢印によって示されるように、選択されたPEC後の最大線量(つまり、目標のPEC後の最大線量となるPEC前の線量)に基づいて、PEC前の最大線量が、次いで計算される。目標のPEC後の最大線量に基づいている、また本実施形態に開示されるように書かれているパターン密度に合わせて調整されるPEC前の線量を計算することは、当技術分野で企図されてきていないが、PEC前とPEC後の線量との間の計算は、従来のPEC方法を使用して実行され得る。
図8A~8F、特に図8B、8D及び8Fに戻ると、これらの図は、パターン密度が増加するにつれて、増加した後方散乱による追加の露光を補償するために、PECによって補正された線量が減少することを示している。さらに、後方散乱の増加及び結果として生じる線量のPEC減少はまた、図7A~7Gに示されるように線量マージンを減少させる。したがって、通常の線量では、パターン密度が増加するにつれて線量マージンが低くなる。いくつかの実施形態では、十分な線量マージンを設けることは、PEC後の最大線量が事前設定された限界(通常の線量の0.7から1.3倍など)の範囲になるように線量を増加させ、形状のサイズが正しいことを確実にするために線形性の補正を実行することを含む。
図12~13は、PEC後の最大線量による線量マージンの増強が、ピクセル線量アレイの形状データを用いてどのように達成され得るかを示している。当業者に知られているように、半導体デバイス製造における先端のマスクプロセスにおいて、例えば、マスク寸法が約100nmよりも小さい形状が通常の1.0線量のショットで露光される場合、エッジはより大きなショットよりも劣悪な線量マージンを有する。図12は、ピクセル線量が、60nmの幅を有する細いラインの端部に対して、線量マージンを改善するために実行される線形性の補正を反映するピクセルアレイ1200を示している。この例では、ピクセルサイズはX方向とY方向の両方で10nmである。計算されたエッジ1210は、このデータから判定され、ラインの端部のパターンを表す。ここで1.0を超える線量を切り捨てると、1220で示される一層小さな形状になり、線量マージンが劣悪化している。
対照的に、図13は、いくつかの実施形態による、書き込み時間を短縮し、許容可能な線量マージンを達成しながら、パターンのサイズを維持するために、露光情報をどのように変更できるかということを示している。この例では、目標のPEC後の最大線量0.9を使用する実施形態について、図13は、修正された露光情報から生じるPEC後の線量を示している。図12の線量マージンは、図13の線量マージンよりも優れているが、図12の線量マージンは、所定の閾値線量マージンと比較して、必要以上に遥かに大きくなる可能性がある。図13では、線量マージンはまた閾値線量マージンよりも良好であるが、線量が少ないので書き込み時間は図12よりも短い。図13は結果として生じるピクセルアレイ1300を示し、これは最大線量よりも低いピクセル線量及び十分な線量マージンで1310によって示されるサイズを達成する。
米国特許第9,372,391号「Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage」に開示されているように、最適化技術を使用して、パターンの内部部分で達成できる最低の線量を判定することができる。それは、本願の譲受人によるものであり、参照により本明細書に組み込まれる。いくつかの実施形態では、これらの最適化技術は、粒子ビームシミュレーションを使用するなどして、ショットのセットに対するレジスト応答を計算することを含み、ショットのセットが、所定の許容誤差内などの特定のパラメータに従って、所望のパターンを形成することを判定する。割り当てられていない線量ショットのみをサポートする荷電粒子ビームライタのショットを作成する場合、パターンの内部領域のギャップを使用して、領域の線量を減らすことができることに留意されたい。特に製造公差の「コーナーケース」をシミュレートすることにより、書き込み時間の短縮とエッジの傾きの改善により、目的の形状を安全に発射するために、より低い線量またはギャップの設計を事前に決定できる。同様に、オーバーラップするショットを使用して、領域の線量を増やすことができる。オーバーラップショットの使用は、本願の譲受人が所有し、参照により本明細書に組み込まれる米国特許第7,754,401号「Method for Manufacturing a Surface and Integrated Circuit Using Variable Shaped Beam Lithography」に開示されている。
図14は、1、0.9、0.8及び0.75のPEC後の最大線量についての線量マージン対パターン密度のグラフを示している。この実施形態における0.5の許容可能な線量マージンは、点線1450によって表される。グラフは、約0.3(つまり30%)未満のパターン密度で許容できる線量マージンを超えているPEC前の通常の線量1.0(線1400)に対して、特定のパターン密度に対する1.0(曲線1410)及び0.9(曲線1420)でのPEC後の最大線量が、より高いパターン密度であっても、許容可能な線量マージン(すなわち、線1450より下)に至ることを示している。すなわち、破線1400によって示される通常の線量では、パターン密度が増加するにつれて線量マージンは劣悪化する。線量マージンを制御するというこの問題に対処するために、いくつかの実施形態では、目標のPEC後の最大線量を選択するときに、パターン密度と共に目標の線量マージンが考慮される。例えば、図14に示されるPEC後の最大線量の範囲は、すべてのパターン密度について、点線1450に沿って示されるような0.5未満で線量マージンが十分であり続け、PEC後の最大線量は、線1420に沿う0.9から、線1410に沿う1.0までということを示している。PEC後の最大線量が0.9の場合、PEC後の最大線量が1.0の場合と比較して、書き込み時間が10%短縮される。ライン1430に沿って0.8及びライン1440に沿って0.75のより低いPEC後の最大線量では、30%未満のパターン密度の線量マージンは劣悪化する。したがって、使用者は、目標のPEC後の最大線量として0.9を選択して、目標線量マージンを満たしながら書き込み時間を制限することができる。
人工的バックグラウンド線量を伴う動的な最大線量
図15は、人工的バックグラウンド線量が追加されたときの線量マージン対パターン密度のグラフである。パターン密度が30%などの特定の閾値を下回る領域1510に人工的バックグラウンド線量を追加することにより、図15に示すように許容可能な線量マージンを達成することができる。すなわち、線量マージンは、図15の領域1510において一定であり、これは、図14の同じ領域における変化する線量マージン対パターン密度に対する改善である。いくつかの実施形態では、人工的バックグラウンド線量を追加して、局所パターン密度を所望の閾値、例えば30%まで上昇させ、十分な線量マージンで最大25%の最大の線量の減少を可能にする。さらに、PEC前の最大線量が、製造変動に対する回復力のその他の測定値の中でも、限界寸法の均一性(CDU)とラインエッジの粗さ(LER)を増強するために計算される。CDUとLERの改善には、線量マージンの強化とマスクのフィーチャ全体での線量マージンの均一性の改善が含まれる。線量マージン(エッジの傾き)の増強は、本願の譲受人が所有し、参照により本明細書に組み込まれる米国特許第8,473,875号「Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography」に開示されている。
いくつかの実施形態では、20%から30%の範囲などの所定の人工的バックグラウンド線量が定められ、あらゆる場所に適用される。最小の目標の後方散乱量、または所定の閾値、例えば30%が定められる。いくつかの実施形態では、PECが線量を減少させるのに自然に十分な後方散乱がない場合、最小後方散乱量を満たすために人工的バックグラウンド線量を追加することによって周囲の線量を増加させる。いくつかの実施形態では、人工的バックグラウンド線量によってもたらされる追加の後方散乱は、人工的バックグラウンド線量によってもたらされる追加の後方散乱を事前に計算することによって追加する人工的バックグラウンド線量の量を考慮してもしなくてもよい。本明細書に記載の実施形態は例であり、人工的バックグラウンド線量を追加する他の変形版が可能である。いくつかの実施形態では、最小の後方散乱量は、人工的バックグラウンド線量から生じる追加の後方散乱を占めるための組み込みマージンを有する。後方散乱の量は振舞いが数学的に線形であるため、人工的バックグラウンド線量によって追加される後方散乱の量は、任意の所与の領域でのショットの線量とは無関係に計算され得る。いくつかの実施形態では、総マスク領域は、何らかの1つまたは複数のサイズのパーティションに細分され、人工的バックグラウンド線量は、パーティションごとに判定され、各パーティションは、パーティション内に1つの人工的バックグラウンド線量を有するが、異なるパーティションは、潜在的に異なる人工的バックグラウンド線量を有する。通常、PECは、50nmグリッドや300nmグリッドなどの粗いグリッドで計算される。グリッドまたはパーティションごとに、PEC調整が計算される。一部の実装では、パーティション内の任意の場所でのPEC調整は、隣接するパーティションの計算されたPEC調整に基づいて補間される。いくつかの実施形態では、人工的バックグラウンド線量の計算は、PECに使用されるグリッドと同じグリッド上で行われる。このような実施態様では、各PECグリッドでの受け入れられた後方散乱量が、指定された最小目標後方散乱量と比較され、PECグリッドがカバーする領域を設けるための人工的バックグラウンド線量が判定される。いくつかの実施形態では、個々のパーティション内の任意の場所の人工的バックグラウンド線量は、隣接するパーティションの人工的バックグラウンド線量に基づく補間など、パーティション全体で補間される。次のPECステップは、当該のショット/ピクセルの線量を減らし、そのショット/ピクセルの書き込み時間を減らす。マスクまたはマスクのセクション上のすべてのショット/ピクセルに対してこれを行うと、線量が減少し、したがってマスクのそのセクションの書き込み時間が減少する。次のPECステップでは、人工的バックグラウンド線量の追加と、人工的バックグラウンド線量によりもたらされる追加の任意の後方散乱が考慮される。PECは、マスクへの全ショットのショット線量を調整して、PECが常に自然な後方散乱に対して機能してきたのとまったく同じ方法で、すべてのCDが目標に当たるように調整する。調整されたショットの線量マージンは、人工的バックグラウンド線量を追加する前よりも劣悪になっている。しかし、後方散乱を最小にするために使用者が調整したパラメータにより、線量マージンは、特定のマスクプロセスに対して判定された許容可能な線量マージン内にとどまることができる。
従来のVSBマシンでは、あらゆる種類の線量をキャストする場合(線量がどれほど少量であっても)、個別のショットと複数のショットを分離するブランキング時間が必要である。ブランキング時間は通常、通常の線量での露光時間とほぼ同じ時間であるため、通常の線量では、ショット時間の妥当な一次近似は2時間単位を要すると見なされる場合がある。この概算では、10%の線量のショットは1.1時間単位を要する。マスクのコストと歩留まりの両方を最適化するには書き込み時間が最も重要であり、複雑な先端のマスクは書き込みに時間を過度に要することがすでにわかっているため、別様でショットがない場所すべてに人工的バックグラウンド線量を追加することは商業的に実現可能ではない。それにもかかわらず、VSBマスクライタでは通常可変の速度であるマスクステージが重いため、マスクステージは徐々に速度を変更することしかできない。したがって、ピーク線量密度を下げると、VSBマシンの書き込み時間を短縮するよう促せる。
特にVSBマシンの場合、本開示のいくつかの実施形態は、ブランキング時間中に、意図的にいくらかのeBeamのエネルギーを漏らし、人工的バックグラウンド線量を生成することを含む。漏れたeBeamがキャストされる正確な場所を正確に制御する必要はない。後方散乱は半径10μmの範囲での大規模な影響であるため、位置のnmレベルの制御は重要ではない。ブランキング時間中に漏れた量と漏れた時間は正確に計算できる。このような計算により、eBeamが移動しているパスを計算し、正確な制御が必要ないずれのパターンエッジの近く(つまり、その結合した前方のブラーの中)に漏れをキャストすることも回避できる。
最先端のテクノロジーノードの表面書き込みには、通常、荷電粒子ビームの書き込みの複数のパスが含まれる。これは、マルチパス露光と呼ばれるプロセスで、これによりレチクルに特定の形状が書き込まれ、上書きされる。通常、2~4のパスを使用してレチクルを書き込み、荷電粒子ビームライタの精度エラーを平均化して、より正確なフォトマスクを作成できるようにする。また、通常、線量を含むショットのリストは、すべてのパスで同じである。マルチパスの露光の1つのバリエーションでは、ショットのリストは露光パスの間で異なる場合があるが、任意の露光パスでのショットの結合が同じ領域をカバーする。マルチパスの書き込みは、表面をコーティングするレジストの過熱を減らすことができる。マルチパスの書き込みはまた、荷電粒子ビームライタのランダムなエラーを平均化する。異なる露光パスに対して異なるショットリストを使用するマルチパス書き込みはまた、書き込みプロセスにおける特定のシステムエラーの影響を減らすことができる。いくつかの実施形態では、VSB及びマルチパス書き込みにおいて、書き込みパスの1つまたはいくつかのみが、人工的バックグラウンド線量をキャストする。言い換えれば、いくつかの実施形態では、元の露光情報のセットは、複数の露光パスの情報を含み、人工的バックグラウンド線量は、露光パスで追加されるのみである。人工的バックグラウンド線量では精度は重要ではないため、これで十分であり、すべてのパスに影響を与えないことにより、書き込み時間を節約できる。他のパスは、図10Aに示される実施形態のように、人工的バックグラウンド線量に必要な追加の書き込み時間を伴わずに、ピクセルのピーク線量が減少するか、または領域のピーク線量密度が減少する。
いくつかの実施形態では、比較的孤立したパターンは、後方散乱の増加をもたらす人工的バックグラウンド線量によって意図的に囲まれている。後方散乱の補正であるPECは、ピクセルまたはショットの線量を減らすー露光濃度が低い場合は減少を少なく、露光密度が高い場合は減少を大きくするーことによって実現される。ただし、線量を減らすと線量マージンが劣悪化する。
許容可能な線量マージンは、線量の減少によって引き起こされるサイズ変動の量によって判定できる。一実施形態では、通常の線量で露光された孤立したパターンは、人工的バックグラウンド線量を追加することなく、より大きなパターン及び許容レベルまで低減された線量を使用して発射することができる。
例えば、荷電粒子ビームリソグラフィを使用して、表面に繰り返されるパターンを露光する場合、最終的に製造される表面で測定される各パターンのインスタンスのサイズは、製造での変動によりわずかに異なる。サイズの変動の量は、重要な製造最適化基準である。特に最小サイズのフィーチャの変動が大きすぎると、その形状がまったく印刷されず、結果として回路が誤動作する可能性がある。ラインのエッジの粗さや角の丸みなどの製造での変動はまた、表面の実際のパターンに存在する。さらに、サイズのばらつきが大きいほど回路の能力のばらつきが大きくなり、必要なマージンの設計が大きくなり、より高速で低電力の集積回路を設計することが一層困難になる。この変動は、限界寸法(CD)変動と呼ばれる。低いCD変動、特にマスク全体のすべての形状にわたって均一に低いCD変動が望ましく、製造変動が最終的な製造面で比較的小さなサイズの変動を生じることを示す。小規模では、CDの変動が大きい場合の影響は、ラインエッジの粗さ(LER)として観察される場合がある。LERは、ラインエッジの各部分の製造方法がわずかに異なるために発生し、まっすぐなエッジを意図したラインに、多少のうねりが生じる。CDの変動は、エッジの傾きと呼ばれるレジスト閾値での線量曲線の傾きに反比例する。したがって、エッジの傾きとその逆の線量マージンは、表面の粒子ビーム書き込みの重要な最適化因子である。この開示において、エッジの傾き及び線量マージンは、交換可能に使用される用語である。
いくつかの実施形態では、方法は、目標レベルを満たすエッジの傾きの使用を通じて、適切な量の人工的バックグラウンド線量を示唆することを含む。つまり、「十分に良好な」エッジの傾きである。製造の変動に対する回復力は統計的な概念であるため、「十分に良好な」エッジの傾きの意味するものは、厳密な不等式の正確な数式ではない。本方法のいくつかの実施形態では、エッジの傾きが「十分に良好な」レベルを超える人工的バックグラウンド線量の量が判定される。例えば、特定のマスク製造プロセスを調整して、75%の露光密度領域に書き込まれる100nm×2μmの線に対して信頼性のある製造結果を生成し、より多くの量の後方散乱を生成して、したがって最小限の量のショット/ピクセルのPEC後線量を備え、したがって比較的緩いエッジの傾きを備えることができる。いくつかの実施形態では、その線のPEC後のエッジの傾きは、「十分に良好」と称される。他の形状があるにもかかわらず、マスク製造プロセスでは、エッジの傾きが相対的に劣悪な、75%の密度領域に書き込まれている、40nm×200nmのスペースなどの、そこのエッジの傾きが「十分良好ではない」ものでも、依然として経済性、時間、製造の信頼性の全体的なトレードオフ上、製造可能であると判断され得る。本実施形態の「十分に良好な」エッジの傾きの機能は、製造での変動に対する回復力の増加性の利益と比較したとき、「十分に良好」よりも遥かに良いということが、その線量をキャストするのに時間を過度に要することを示すものである。従来の教示がエッジの傾きを最大化せよということであるため、エッジの傾きを最適レベルより下、つまり目標レベルより下に下げることは直感に反する。
いくつかの実施形態では、PEC前の最大線量及び人工的バックグラウンド線量が自動的に計算される。一実施形態では、PEC前の最大線量及び人工的バックグラウンド線量を計算して、許容可能なレベルのコントラスト(コントラストの低下)を達成することができる。別の実施形態では、PEC前の最大線量及び人工的バックグラウンド線量を計算して、目標の最小線量マージンを下回る線量マージンを達成することができる。最小許容線量マージンは、所定の後方散乱領域での所定のパターンの所定のエッジ位置での線量マージンを計算することによって判定できる。
いくつかの実施形態において、人工的バックグラウンド線量は、PECの間の線量を減少させることにより、書き込み時間を短縮するためにPECの前に追加され、線量の減少に伴うエッジの傾きは、目標レベルを下回り得る。いくつかの実施形態では、目標または「十分に良好な」レベルは、製造プロセスで製造目的に使用するのに十分に機能することが知られているフィーチャのエッジの傾きをシミュレートすることによって、計算することができる。例えば、先端のフォトマスク製造では、PECの前に通常の線量で露光した場合、100nm幅のスペースで区切られた100nm幅のワイヤの繰り返しパターンが安定することによる。100nmの線と空間パターンのコンテキストでの100nm幅の線のエッジの傾きのシミュレーションは、「十分に良好な」線量マージンと見なすことができる。人工的バックグラウンド線量を計算するために、100nmの線とスペースのパターンでは、周囲の領域で50%の露光密度がある。50%の露光濃度から生じる自然な後方散乱は、最小後方散乱量として計算される。ある領域にすでに存在する自然後方散乱と人工的バックグラウンド線量の合計が均等に分散され、マスク全体で均一な最小後方散乱まで全体的なバックグラウンド露光に寄与する。50%の露光密度は、PEC後の最低の許容線量マージンを生み出さないかもしれないが、人工的バックグラウンド線量が計算される最小許容線量の量を計算する目的で達成するための良好な実用的な目標として宣言され得る。
図16は、当技術分野で知られているように、荷電粒子ビームリソグラフィを使用して、レチクルまたは他の表面などの表面を作成するための概念的なフローの図1600である。第1のステップ1602において、集積回路の物理的設計などの物理的設計が作成される。これには、論理ゲート、トランジスタ、金属層、及び集積回路の物理的設計などの物理的設計に見出される必要のあるその他の項目を判定することが含まれる。次に、ステップ1604において、光近接効果補正(OPC)が、ステップ1602の物理的設計に対して、またはマスク設計1606を作成するためにウェーハで望まれる物理的設計の一部に対して判定される。OPCは、製造の変形版全体で目的のウェーハの形状を最適に生成するために必要なマスクの形状を計算する。OPCは、光学回折、及びマスク設計1106を生成する近接するフィーチャと、フィーチャとの光学的相互作用などの影響によって引き起こされる歪みを補償するために物理的設計を改変する。
マスクプロセス補正(MPC)は、任意選択で、マスク設計1606で実行され得る。MPCは、レチクルに書き込まれるパターンを変更して、従来の光学的リソグラフィマスクの約100nm未満のパターンに関連する効果などの非線形効果を補正する。MPCは、EUVマスクに影響を与える非線形効果を補正するためにも使用できる。本発明のいくつかの実施形態では、MPCは、破砕または他のマスクデータプレパレーション(MDP)操作の一部として実行され得る。
ステップ1608における、破砕操作、ショット配置操作、線量割り当て操作、またはショットシーケンス最適化を含み得るマスクデータ準備(MDP)操作。マルチビームマスク書き込み用のいくつかの実施形態では、MDPステップ1608は、複数のマルチビームショットを生成することを含み得、各マルチビームショットは、1つまたは複数のビームレットを含み、この場合、線量領域が判定され、線量領域が各線量でビームレットに割り当てられる。いくつかの実施形態では、ビームレットの線量は、線量領域内で変化し得る。
近接効果補正(PEC)の改良は、ステップ1618で実行され、そこでは、後方散乱、フォギング、及び負荷効果を考慮して線量が調整され、調整された線量についてステップ1620で露光情報が作成される。ステップ1620の露光情報の調整された線量は、電子ビームライタシステムなどの荷電粒子ビームライタを使用するマスク書き込みステップ1622で表面を生成するために使用される。使用されている荷電粒子ビームライタのタイプに応じて、PECの改良1618は、荷電粒子ビームライタによって実行され得る。マスク書き込みステップ1622は、単一の露光パスまたは複数の露光パスを含み得る。電子ビームライタシステムは、電子ビームをステンシルまたは開口プレートを通して表面に投射して、表面上のパターンを含むマスク画像1624を形成する。次に、レチクルなどの完成した表面を、ステップ1626に示される光学的リソグラフィ機で使用することができる。
図17は、いくつかの実施形態による、動的最大線量及び人工的バックグラウンド線量が計算され、適用され得る、表面上の領域にパターンを露光するための方法を表すフロー1700を示す。ステップ1706において、パターンの元の露光情報のセットが入力される。いくつかの実施形態では、元の露光情報のセットは、ピクセル及びそれらの線量の集合である。別の実施形態では、元の露光情報のセットは、曲線または完全に非多角形の記述(円またはスプラインなど)を潜在的に含む形状のセットである。さらに別の実施形態では、元の露光情報のセットは、割り当てられるかまたは暗示されるPEC前の線量のショットのセット(例えば、VSB)、または割り当てられるかまたは暗示されるPEC前の線量の形状のセット(例えば、マルチビーム書き込み)である。一般に、ステップ1706の入力は、形状または線量を説明するものである。
PEC後の最大線量は、ステップ1708で入力され、これは、PEC後の目標または所望の最大線量として機能する。いくつかの実施形態では、ステップ1708は、目標の近接効果補正後の最大線量を入力することを含み、この場合、目標のPEC後の最大線量は、最大書き込み時間に基づく。いくつかの実施形態では、人工的バックグラウンド線量の閾値も入力される。他の実施形態では、目標の線量マージンが入力され、目標のPEC後の最大線量を判定するために使用される。すなわち、目標線量マージンは、目標線量マージンを下回る線量マージンを達成するためなど、PEC後の最大線量を選択する際の因子として使用することができる。そのような実施形態では、フロー1700は、露光されるパターンの線量マージンを計算するステップを含み得、例えば、所定の後方散乱領域にて、所定のパターンにおける所定のエッジ位置での目標最小線量マージンを計算するステップなどがあり、それにおいて、PEC前の最大線量は、目標の最小線量マージンより下で露光されるパターンの線量マージンを達成するように判定される。PEC後の最大線量、人工的バックグラウンド線量閾値、及び/または目標線量マージンは、例えば、使用者によって入力され得る。さらに別の実施形態では、PEC後の最大線量は、通常の線量と比較して書き込み時間を特定の量だけ短縮すること、または最大機械書き込み時間によって制限される閾値未満にとどまることなど、所望の書き込み時間に基づいて選択することができる。別の実施形態では、PEC後の最大線量は、最小のフィーチャなどのフィーチャのサイズに基づくことができる。別の実施形態では、PEC後の最大線量は、印刷適性の他の尺度に基づくか、または他の何らかの方法に基づくことができる。
局所パターン密度は、元の露光情報のセットに基づいて、ステップ1710で判定される(すなわち、計算される)。一実施形態では、ステップ1710での局所パターン密度の計算は、後方散乱の初期マップを作成するPECの初期判定を含む。PECは、後方散乱の存在下で目的のサイズを印刷するように線量が調整される反復的なプロセスである。最初のPEC判定からの後方散乱の初期マップは、局所パターン密度の優れた相対的指標である。すなわち、局所パターン密度は、後方散乱の量を計算することによって計算することができる。いくつかの実施形態では、後方散乱が所定の閾値を下回る領域は、ステップ1710で識別される。
局所パターン密度のPEC前の最大線量は、ステップ1708の目標のPEC後の最大線量に基づいて、ステップ1712で動的に判定(すなわち、計算)される。すなわち、PEC前の最大線量は、特定の露光情報のセット(局所パターン密度)、目標線量マージン、所望の最大書き込み時間、及び/または他の目標パラメータに従って変化する可能性があるという点で、動的である。いくつかの実施形態では、PEC前の最大線量は、様々な領域パターン密度に対して事前に計算され、PEC前の最大線量が、それに応じて、局所パターン密度に対して供給される。いくつかの実施形態では、局所パターン密度について計算された、PEC前の最大線量が、線形性の補正アルゴリズムに入力される。
ステップ1716において、元の露光情報は、変更された露光情報のセットを作成するために、ステップ1712のPEC前の最大線量を含むように変更される。いくつかの実施形態では、変更された露光情報のセットは、PECによって改良され、ステップ1708のPEC後の最大線量よりも少ない(すなわち、超えない)調整された線量をもたらす。いくつかの実施形態では、フロー1700はまた、変更された露光情報のセットで表面を露光することを含み得る。
いくつかの実施形態では、計算は、ステップ1714で領域の人工的バックグラウンド線量を判定することを含み、人工的バックグラウンド線量は、追加の露光情報を含む。いくつかの実施形態では、ステップ1714は、ステップ1710で所定の閾値未満の後方散乱が識別された局所パターン密度を有する領域で実行される。いくつかの実施形態では、フロー1700は、元の露光情報のセットに基づいて領域の後方散乱を計算することを含み、ステップ1714は、計算された後方散乱が所定の閾値よりも小さい(すなわち、未満である)場合にのみ、人工的バックグラウンド線量を追加する。つまり、人工的バックグラウンド線量は、所定の閾値と計算された後方散乱との差である。いくつかの実施形態では、ステップ1714において、領域内の所望のパターンについて線量マージンまたはエッジの傾きが計算され、領域内の所望のパターンに対して「十分に良好な」エッジの傾きまたはコントラストを維持するように人工的バックグラウンド線量が判定される。いくつかの実施形態では、ステップ1714は、「十分に良好な」エッジの傾きの最小の対象のサイズなどの特定の基準を満たす、領域内のパターンの「十分に良好な」エッジの傾きを維持するために人工的バックグラウンド線量を追加する。例えば、SRAFに対する小さ目のサイズの形状は、「十分に良好な」エッジの傾きから除外される場合がある。別の例として、SRAFに対する小さ目サイズの形状は、追加する人工的バックグラウンド線量を判定する際に達成させる、異なる「十分に良好な」エッジの傾きを有し得る。いくつかの実施形態では、これらの計算は、付加的なパターンが印刷されないよう、閾値以下の露光を伴う付加的なパターンを含む人工的バックグラウンド線量を作成するに至る可能性がある。付加的なパターン(複数可)は、変更された露光情報のセットと組み合わされる。いくつかの実施形態では、人工的バックグラウンド線量は、表面のパターンのエッジからの所定の距離を超えてのみ適用される。いくつかの実施形態では、元の露光情報のセットは、複数の露光パスの情報を含み、人工的バックグラウンド線量は、露光パスで追加されるのみである。
いくつかの実施形態では、PEC前の最大線量の計算及び付加的なパターンの作成は、MDPステップ(例えば、フロー1600のステップ1608、図16)の一部として行われ、付加的なパターンは、マスク設計(例えば、フロー1600のステップ1606、図16)での幾何学的に所望のパターンと重なる。PEC前の最大線量で調整され得る、または付加的な露光情報(すなわち、付加的なパターン)と組み合わされ得る元の露光情報のセットを含む、ステップ1716における変更された露光情報のセットは、近接効果補正(PEC)改良ステップ(例えば、フロー1600のステップ1618、図16)によって読み取られることが可能であり、人工的バックグラウンド線量を含み人工的バックグラウンド線量から生じる後方散乱も含み得る、ステップ1716での修正された露光情報を生成する。いくつかの実施形態では、変更された露光情報のセットは、元の露光情報のセットと比較して、全体的な書き込み時間を短縮する。いくつかの実施形態では、変更された露光情報のセットは、PECによって改良され、変更された露光情報のセットの調整された線量をもたらす。次に、露光情報を使用して、変更された露光情報のセットで表面を露光する。いくつかの実施形態では、ピクセルまたはショットの線量は、人工的バックグラウンド線量を追加するように変更される。いくつかの実施形態では、ピクセルまたはショットは、別様では空の領域(ゼロの線量で始まるピクセル)に人工的バックグラウンド線量をキャストし、線量の量は、例えば3×3以上の数の隣接しているピクセルにおいて、ピクセルに関して比較的類似している。
いくつかの実施形態では、図17のステップ1710、1712、1714及び1716のいくつかまたはすべては、図16のステップ1618及び1620に続き、図16のフロー1600のマスク書き込みステップ1622と同時に実行され、マスクが露光されている間にデータ操作を実行するためのインライン処理を伴う。すなわち、いくつかの実施形態では、マスク露光は、局所(すなわち、領域)パターン密度を判定(すなわち、計算)し、その領域のPEC前の最大線量を判定すること、後方散乱を計算すること、計算された後方散乱が所定の閾値を下回る領域を判定すること、人工的バックグラウンド線量を判定すること、及び変更された露光情報のセットを作成することからなる群から選択される1つまたは複数のステップについて、インラインで実行される。他の実施形態では、計算は、パイプライン方式で、別の表面の露光中に実行され得る。パイプラインシステムでは、前の表面がマシンにて書き込まれている間に、マシンにて書き込まれる次の表面が計算される。パイプラインシステムは、表面の書き込み時間と計算時間が類似している場合、多くの表面のスループットを向上させるのに効果的である。インライン(リアルタイム)システムは、各表面のスループットとターンアラウンドタイムを改善するのに効果的である。
本方法は、オフライン、パイプライン、またはインラインで使用できる。インラインで処理できるほど高速であることが最も望ましい。インライン処理は、特に書き込む必要のある総ピクセル数が非常に多い場合に最も望ましい。例えば、マスクのマルチビームeBeam書き込み用に製造する半導体デバイスでは、すべてのピクセルデータを格納するために500Tバイトを超えるデータが必要である。マルチビームeBeamマシンはピクセルを非常に高速に書き込む必要があるため、そのようなデータをハードディスクに保存すること、またはソリッドステートディスクにすら保存することは、コスト面で実用的でない場合がある。インライン処理では、オフライン処理やパイプライン処理とは異なり、データが計算された直後にマシンがデータを消費してピクセルを書き込むため、データを保存する必要はない。これが、本方法が可能にするインライン処理には価値があるもう1つの理由である。上記のように、同じ方法論を使用してピクセル線量を調整し、線量マージン(すなわちエッジの傾き)を改善することができる。
本開示に記載のマスクデータの作成、最大線量の計算、人工的バックグラウンド線量の計算、及び近接効果補正は、計算装置として適切なコンピュータソフトウェアを備えた中央処理装置(CPU)を使用する汎用コンピュータを使用して実施することができる。大量の計算が必要になるため、CPUの複数のコンピュータまたはプロセッサコアを並行して使用することもできる。一実施形態では、計算は、並列処理をサポートするために、フローの1つまたは複数の計算集約型ステップのために、複数の2次元の幾何学的領域に細分され得る。別の実施形態では、単独または複数で使用される専用ハードウェアデバイスを使用して、汎用コンピュータまたはプロセッサコアを使用するよりも高速で、1つまたは複数のステップの計算を実行することができる。特殊なコンピューティングハードウェアデバイスまたはプロセッサには、例えば、フィールドプログラマブルゲートアレイ(FPGA)、特定用途向け集積回路(ASIC)、またはデジタルシグナルプロセッサ(DSP)チップが含まれる場合がある。一実施形態では、専用ハードウェアデバイスは、グラフィックス処理ユニット(GPU)であり得る。別の実施形態では、本開示に記載される最適化及び計算プロセスは、荷電粒子ビーム書き込み時間の合計または他のパラメータを最小化するために、可能な解を修正及び再計算する反復プロセスを含み得る。さらに別の実施形態では、プロセスは、反復を伴わない決定論的計算であり得る。
図18は、本開示で説明する計算を実行するために使用し得るコンピューティングハードウェアデバイス1800の例を示す。コンピューティングハードウェアデバイス1800は、メインメモリ1804が接続された中央処理装置(CPU)1802を含む。CPUは、例えば8つの処理コアを含み、それによってマルチスレッド化されたコンピュータソフトウェアの任意の部分の性能を向上させ得る。メインメモリ1804のサイズは、例えば64Gバイトであってよい。CPU1802は、ペリフェラルコンポーネントインターコンテクトエクスプレス(PCIe)バス1820に接続されている。また、グラフィックスプロセッシングユニット(GPU)1814もPCIeバスに接続されている。コンピューティングハードウェアデバイス1800では、GPU1814は、ビデオモニタなどのグラフィック出力装置に接続される場合もあれば、接続されない場合もある。グラフィック出力装置に接続されない場合、GPU1814は、純粋に高速並列計算エンジンとして使用され得る。コンピューティングソフトウェアは、すべての計算にCPU1802を使用することと比較すると、計算の一部分にGPUを使用することによって著しく高い性能を達成し得る。CPU1802は、PCIeバス1820を介してGPU1814と通信する。他の実施形態(図示せず)では、GPU1814は、PCIeバス1820に接続されるのではなくCPU1802と統合されてもよい。また、ディスクコントローラ1808がPCIeバスに接続されてもよく、例えば2つのディスク1810がディスクコントローラ1808に接続される。最後に、ローカルエリアネットワーク(LAN)コントローラ1812もPCIeバスに接続されてよく、他のコンピュータにギガバイトイーサネット(登録商標)(GbE)接続性を提供する。いくつかの実施形態では、コンピュータソフトウェア及び/または設計データは、ディスク1810に格納される。他の実施形態では、コンピュータプログラムもしくは設計データのどちらか、またはコンピュータプログラムと設計データの両方に、GbEイーサネット(登録商標)を介して他のコンピュータまたはファイル提供ハードウェアからアクセスし得る。
本明細書は、特定の実施形態に関して詳細に説明されてきたが、当業者は、前述した事項の理解を得ると、これらの実施形態に対する変更形態、変形形態、及び均等物を容易に着想し得ることが理解される。マスクデータを作成するための本方法に対するこれらの及び他の変更形態及び変形形態は、添付の特許請求の範囲により具体的に記載される本主題の範囲を逸脱することなく、当業者によって実施され得る。さらに、当業者は、上記説明が例示によってのみであり、限定的となることを意図しないことを理解する。ステップは、本発明の範囲から逸脱することなく、本明細書のステップに追加すること、本明細書のステップから取得すること、または本明細書のステップから修正することができる。一般的に、提示されるいかなるフローチャートも機能を達成するための基本的な操作の1つの考えられるシーケンスを示すことだけを目的とし、多くの変形形態が考えられる。したがって、本主題が、添付の特許請求の範囲及びそれらの均等物の範囲内に入るそのような変更形態及び変形形態を網羅することが意図される。

Claims (14)

  1. 荷電粒子ビームシステムを使用して表面上の領域においてパターンを露光するための方法であって、
    前記領域の元の露光情報のセットを入力することと、
    目標の近接効果補正(PEC)後の最大線量を入力することであって、前記目標のPEC後の最大線量は、最大書き込み時間に基づく、前記最大線量を入力することと、
    前記元の露光情報のセットに基づいて、前記領域の局所パターン密度を判定することと、
    前記局所パターン密度に対するPEC前の最大線量を、前記目標のPEC後の最大線量に基づいて判定することと、
    前記元の露光情報のセットを、前記PEC前の最大線量で変更し、変更された露光情報のセットを作成することと、を含む、前記方法。
  2. 前記変更された露光情報のセットがPECによって改良され、前記目標のPEC後の最大線量よりも少ない、調整された線量が得られる、請求項1に記載の方法。
  3. 前記領域の人工的バックグラウンド線量を判定することをさらに含み、前記人工的バックグラウンド線量が、閾値以下の露光を伴う付加的なパターンを含み、前記付加的なパターンが、前記変更された露光情報のセットと組み合わされる、請求項1に記載の方法。
  4. 前記人工的バックグラウンド線量が、前記表面上の前記パターンのエッジから所定の距離を超えてのみ適用される、請求項3に記載の方法。
  5. 前記元の露光情報のセットが複数の露光パスの情報を含み、前記人工的バックグラウンド線量が露光パスにのみ追加される、請求項3に記載の方法。
  6. 前記元の露光情報のセットに基づいて、前記領域の後方散乱を計算することをさらに含み、前記計算された後方散乱が所定の閾値未満である前記領域において、前記人工的バックグラウンド線量は、前記所定の閾値と前記計算された後方散乱との差異である、請求項3に記載の方法。
  7. 前記領域がパーティションに細分され、前記人工的バックグラウンド線量が各パーティションについて判定される、請求項3に記載の方法。
  8. 個々のパーティションの内部における任意の場所の前記人工的バックグラウンド線量が、前記パーティション全体に補間される、請求項7に記載の方法。
  9. 前記補間が、隣接するパーティションの前記人工的バックグラウンド線量に基づく、請求項8に記載の方法。
  10. マスク露光が、前記局所パターン密度の判定、前記PEC前の最大線量の判定、前記人工的バックグラウンド線量の判定、及び前記変更された露光情報のセットの作成からなる群から選択される1つまたは複数のステップについてインラインで実行される、請求項3に記載の方法。
  11. 目標線量マージンを入力することをさらに含み、前記目標線量マージンは、前記目標のPEC後の最大線量を判定するために使用される、請求項1に記載の方法。
  12. 露光される前記パターンの線量マージンを計算することをさらに含む、請求項1に記載の方法。
  13. 所定の後方散乱領域での所定のパターンの所定のエッジ位置での目標最小線量マージンを計算することをさらに含み、
    前記PEC前の最大線量が、前記目標最小線量マージンより下に露光される前記パターンの前記線量マージンを達成するように判定される、請求項12に記載の方法。
  14. 前記変更された露光情報のセットで前記表面を露光することをさらに含む、請求項1に記載の方法。
JP2021569565A 2019-05-24 2020-05-13 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム Active JP7474787B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2024065404A JP2024099611A (ja) 2019-05-24 2024-04-15 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/422,269 2019-05-24
US16/422,269 US10748744B1 (en) 2019-05-24 2019-05-24 Method and system for determining a charged particle beam exposure for a local pattern density
PCT/IB2020/054546 WO2020240322A1 (en) 2019-05-24 2020-05-13 Method and system for determining a charged particle beam exposure for a local pattern density

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024065404A Division JP2024099611A (ja) 2019-05-24 2024-04-15 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム

Publications (2)

Publication Number Publication Date
JP2022533790A true JP2022533790A (ja) 2022-07-25
JP7474787B2 JP7474787B2 (ja) 2024-04-25

Family

ID=72046106

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021569565A Active JP7474787B2 (ja) 2019-05-24 2020-05-13 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム
JP2024065404A Pending JP2024099611A (ja) 2019-05-24 2024-04-15 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2024065404A Pending JP2024099611A (ja) 2019-05-24 2024-04-15 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム

Country Status (6)

Country Link
US (2) US10748744B1 (ja)
JP (2) JP7474787B2 (ja)
KR (1) KR20220000399A (ja)
AT (1) AT526413A2 (ja)
TW (1) TWI840559B (ja)
WO (1) WO2020240322A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US20230124768A1 (en) * 2019-05-24 2023-04-20 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US11756765B2 (en) * 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US10748744B1 (en) * 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
JP7549540B2 (ja) * 2021-01-26 2024-09-11 キオクシア株式会社 近接効果補正方法、原版製造方法および描画装置

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4463265A (en) 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
JP3192157B2 (ja) 1990-09-17 2001-07-23 株式会社東芝 電子ビーム描画方法及び描画装置
KR950027933A (ko) 1994-03-21 1995-10-18 김주용 위상반전 마스크
US5510214A (en) 1994-10-05 1996-04-23 United Microelectronics Corporation Double destruction phase shift mask
JP3334441B2 (ja) 1995-08-01 2002-10-15 ソニー株式会社 フォトマスク描画用パターンデータ補正方法と補正装置
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JP2000292907A (ja) 1999-04-02 2000-10-20 Nikon Corp 荷電粒子線露光装置及びレチクル
JP3508617B2 (ja) 1999-05-11 2004-03-22 株式会社日立製作所 電子線描画装置および電子線を用いた描画方法
KR100459697B1 (ko) 2001-12-27 2004-12-04 삼성전자주식회사 가변적인 후방 산란 계수를 이용하는 전자빔 노광 방법 및이를 기록한 컴퓨터로 읽을 수 있는 기록 매체
US6872507B2 (en) 2002-11-01 2005-03-29 Taiwan Semiconductor Manufacturing Company Radiation correction method for electron beam lithography
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
EP1612834A1 (en) * 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH A process for controlling the proximity effect correction
US20080168419A1 (en) * 2007-01-04 2008-07-10 International Business Machines Corporation Optical proximity correction improvement by fracturing after pre-optical proximity correction
US20120219886A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US8057970B2 (en) * 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8221939B2 (en) * 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US8137871B2 (en) * 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
US8221940B2 (en) * 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
US9612530B2 (en) * 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US8745549B2 (en) * 2012-02-05 2014-06-03 D2S, Inc. Method and system for forming high precision patterns using charged particle beam lithography
JP2013219288A (ja) 2012-04-11 2013-10-24 Fujitsu Semiconductor Ltd 露光方法および半導体装置の製造方法
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9038003B2 (en) 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
JP2013232531A (ja) * 2012-04-27 2013-11-14 Canon Inc 描画装置及び物品の製造方法
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
JP6076708B2 (ja) * 2012-11-21 2017-02-08 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビームの照射量チェック方法
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
EP3070528B1 (en) * 2015-03-17 2017-11-01 IMS Nanofabrication AG Multi-beam writing of pattern areas of relaxed critical dimension
JP2016225357A (ja) * 2015-05-27 2016-12-28 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
EP3121833A1 (en) * 2015-07-20 2017-01-25 Aselta Nanographics A method of performing dose modulation, in particular for electron beam lithography
US10444629B2 (en) * 2016-06-28 2019-10-15 D2S, Inc. Bias correction for lithography
US10522329B2 (en) * 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
JP7126367B2 (ja) * 2018-03-29 2022-08-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10748744B1 (en) * 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density

Also Published As

Publication number Publication date
US20200373122A1 (en) 2020-11-26
US10748744B1 (en) 2020-08-18
US11062878B2 (en) 2021-07-13
TWI840559B (zh) 2024-05-01
WO2020240322A1 (en) 2020-12-03
AT526413A2 (de) 2024-01-15
TW202102933A (zh) 2021-01-16
JP7474787B2 (ja) 2024-04-25
KR20220000399A (ko) 2022-01-03
JP2024099611A (ja) 2024-07-25

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP6189933B2 (ja) 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
JP7474787B2 (ja) 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム
TWI661265B (zh) 使用多重射束帶電粒子束微影術於表面上形成圖案之方法
KR20110021698A (ko) 하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법
US11592802B2 (en) Method and system of reducing charged particle beam write time
JP2018006748A (ja) 表面上に書込む形状をバイアスするための方法およびシステム
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
US20230386784A1 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US9448473B2 (en) Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US11886166B2 (en) Method and system of reducing charged particle beam write time
TWI848032B (zh) 減少帶電粒子束寫入時間的方法及系統

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230424

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240220

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240313

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240415

R150 Certificate of patent or registration of utility model

Ref document number: 7474787

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150