AT526413A2 - Verfahren und System zum Bestimmen einer Belichtung mit Strahlen geladener Teilchen für eine lokale Musterdichte - Google Patents

Verfahren und System zum Bestimmen einer Belichtung mit Strahlen geladener Teilchen für eine lokale Musterdichte Download PDF

Info

Publication number
AT526413A2
AT526413A2 ATA9198/2020A AT91982020A AT526413A2 AT 526413 A2 AT526413 A2 AT 526413A2 AT 91982020 A AT91982020 A AT 91982020A AT 526413 A2 AT526413 A2 AT 526413A2
Authority
AT
Austria
Prior art keywords
dose
pec
pattern
exposure
backscatter
Prior art date
Application number
ATA9198/2020A
Other languages
English (en)
Inventor
Fujimura Akira
Robert Zable Harold
Shirali Nagesh
E Guthrie William
Pearman Ryan
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S Inc filed Critical D2S Inc
Publication of AT526413A2 publication Critical patent/AT526413A2/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3175Projection methods, i.e. transfer substantially complete pattern to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31752Lithography using particular beams or near-field effects, e.g. STM-like techniques
    • H01J2237/31754Lithography using particular beams or near-field effects, e.g. STM-like techniques using electron beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • H01J2237/31771Proximity effect correction using multiple exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31776Shaped beam

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

Es wird ein Verfahren zum Belichten eines Musters in einem Bereich auf einer Oberfläche unter Verwendung eines Strahlensystems geladener Teilchen offenbart und beinhaltet ein Eingeben eines ursprünglichen Satzes von Belichtungsinformationen für den Bereich und ein Eingeben einer Ziel-Post-Proximity-Effekt-Korrektur(PEC)-Maximaldosis. Eine lokale Musterdichte wird für den Bereich basierend auf dem ursprünglichen Satz von Belichtungsinformationen berechnet. Eine Prä-PEC-Maximaldosis wird für den Bereich bestimmt. Der ursprüngliche Satz von Belichtungsinformationen wird mit der Prä-PEC- Maximaldosis modifiziert.

Description

VERFAHREN UND SYSTEM ZUM BESTIMMEN EINER BELICHTUNG MIT STRAHLEN GELADENER TEILCHEN FÜR EINE LOKALE MUSTERDICHTE
VERWANDTE ANMELDUNG
[0001] Die Anmeldung beansprucht die Vorteile der US-Non-ProvisionalPatentanmeldung Nr. 16/422,269, eingereicht am 24. Mai 2019, mit dem Titel „METHOD AND SYSTEM FOR DETERMINING A CHARGED PARTICLE BEAM EXPOSURE FOR A LOCAL PATTERN DENSITY“; die für alle Zwecke durch Bezugnahme aufgenommen wird. HINTERGRUND DER OFFENBARUNG
[0002] Die vorliegende Offenbarung betrifft Lithografie und insbesondere das Design und die Herstellung einer Oberfläche, die ein Retikel, ein Wafer oder eine beliebige andere Oberfläche sein kann, unter Verwendung von Lithografie Strahlen geladener Teilchen.
[0003] Drei übliche Arten der Lithografie mit Strahlen geladener Teilchen sind die Lithografie mit ungeformtem (Gaußschem) Strahl, die Lithografie mit geformtem Strahl geladener Teilchen und die Mehrstrahl-Lithografie. Bei allen Arten der Lithografie mit Strahlen geladener Teilchen schießen Strahlen geladener Teilchen Energie auf eine lackbeschichtete Oberfläche, um den Lack [engl. resist] zu belichten. [0004] Die Lithografie mit einem Formstrahl geladener Teilchen kann ein variabler Formstrahl (VSB) oder eine Charakterprojektion (CP) sein, bei der ein Schuss [engl. shot] eines präzisen Elektronenstrahls geformt und gelenkt wird, um eine mit lackbeschichtete Oberfläche, wie die Oberfläche eines Wafers, oder die Oberfläche eines Retikels freizulegen. Bei VSB sind diese Formen einfache Formen, die normalerweise auf Rechtecke bestimmter Mindest- und Maximalgrößen, deren Seiten parallel zu den Achsen einer Ebene kartesischer Koordinaten (d.h. mit „Manhattan“Orientierung) verlaufen, und rechtwinklige 45-Grad-Dreiecke (d.h. Dreiecke, deren drei Innenwinkel 45 Grad, 45 Grad und 90 Grad betragen) bestimmter Mindest- und Maximalgrößen beschränkt sind. An vorbestimmten Stellen werden Elektronendosen in den Lack mit diesen einfachen Formen geschossen. Die Gesamtschreibzeit für diese Art von System nimmt mit der Anzahl der Schüsse zu. Bei CP gibt es eine Schablone im
System, die eine Vielzahl von Aperturen oder Charakteren enthält, die komplexe
Formen sein können, wie geradlinige, linear gewinkelte, kreisförmige, nahezu kreisförmige, ringförmige, nahezu ringförmige, ovale, nahezu ovale, teilweise kreisförmige, teilweise nahezu kreisförmige, teilweise ringförmige, teilweise nahezu ringförmige, teilweise nahezu ovale oder willkürliche krummlinige Formen, die ein verbundener Satz komplexer Formen oder eine Gruppe von nicht zusammenhängenden Sätzen eines verbundenen Satzes komplexer Formen sein können. Ein Elektronenstrahl kann durch einen Charakter auf der Schablone geschossen werden, um auf effiziente Weise komplexere Muster auf dem Retikel zu erzeugen. Theoretisch kann ein solches System schneller als ein VSB-System sein, da es mit jedem zeitaufwendigen Schuss komplexere Formen schießen kann. Somit benötigt ein E-förmiges Muster, das mit einem VSB-System geschossen wird, vier Schüsse, jedoch kann das gleiche E-förmige Muster mit einem CP-System mit einem Schuss geschossen werden.
[0005] Bei der Lithografie mit geformten Strahlen geladener Teilchen kann entweder ein einzelner geformter Strahl verwendet werden, oder es kann eine Vielzahl geformter Strahlen verwendet werden, die gleichzeitig eine Oberfläche belichten, wobei die Vielzahl geformter Strahlen eine höhere Schreibgeschwindigkeit erzeugen als ein einzelner geformter Strahl. Wenn eine Vielzahl Strahlen geladener Teilchen gleichzeitig eine Oberfläche belichten, wird die Lithografie mit Strahlen geladener Teilchen oft als Mehrstrahl-Lithografie bezeichnet. Bei der Mehrstrahl-Lithografie kann es sich um mehrere Strahlen einer geformten oder ungeformten Lithografie mit geladenen Teilchen handeln.
[0006] Bei der Lithografie umfasst die lithografische Maske oder das Retikel geometrische Muster, die den auf einem Substrat zu integrierenden Schaltungskomponenten entsprechen. Die zur Herstellung des Retikels verwendeten Muster können unter Verwendung von Computer-Aided Design(CAD)-Software oder Programmen erzeugt werden. Beim Design der Muster kann das CAD-Programm einem Satz vorbestimmter Entwurfsregeln folgen, um das Retikel zu erstellen. Diese Regeln werden durch Verarbeitungs-, Design- und Endverwendungsbeschränkungen festgelegt. Ein Beispiel für eine Endverwendungsbeschränkung ist das Definieren der Geometrie eines Transistors in einer Weise, in der er bei der erforderlichen Versorgungsspannung
nicht ausreichend arbeiten kann. Insbesondere können Design-Regeln die
Abstandstoleranz zwischen Schaltungsvorrichtungen oder Verbindungsleitungen definieren. Die Design-Regeln dienen beispielsweise dazu, sicherzustellen, dass die Schaltungsvorrichtungen oder Leitungen nicht in unerwünschter Weise miteinander interagieren. Zum Beispiel werden die Design-Regeln verwendet, damit Leitungen nicht so nah aneinander geraten, dass es zu einem Kurzschluss kommen kann. Die der Design-Regel-Beschränkungen spiegeln unter anderem die kleinsten Abmessungen wider, die zuverlässig gefertigt werden können. Wenn man sich auf diese kleinen Abmessungen bezieht, führt man normalerweise das Konzept einer kritischen Abmessung ein. Diese sind beispielsweise als die wichtigen Breiten oder Bereiche eines Merkmals oder der wichtige Abstand zwischen zwei Merkmalen oder wichtigen Raumbereichen definiert, wobei diese Abmessungen eine hervorragende Steuerung erfordern.
[0007] Bei der Produktion oder Herstellung von Halbleitervorrichtungen, wie etwa integrierten Schaltungen, kann optische Lithografie verwendet werden, um die Halbleitervorrichtungen zu fertigen. Bei der optischen Lithografie handelt es sich um einen Druckprozess, bei dem eine lithografische Maske oder Fotomaske oder ein Fotomaske verwendet wird, um Muster auf ein Substrat wie etwa einen Halbleiteroder Siliziumwafer zu übertragen, um die integrierte Schaltung (IC) zu erstellen. Zu anderen Substraten könnten Flachbildschirme, holografische Masken oder sogar andere Retikel gehören. Bei der herkömmlichen optischen Lithografie wird typischerweise Strahlung mit einer Wellenlänge von 193 nm oder länger verwendet. Extreme Ultraviolett(EUV)- oder Röntgenlithografie werden ebenfalls als Arten der optischen Lithografie betrachtet, bei denen jedoch Wellenlängen verwendet werden, die viel kürzer als die 193 nm der herkömmlichen optischen Lithografie sind. Das Retikel oder mehrere Retikel kann/können ein Schaltungsmuster enthalten, das einer einzelnen Schicht der integrierten Schaltung entspricht, und dieses Muster kann auf einen bestimmten Bereich des Substrats abgebildet werden, der mit einer Schicht aus strahlungsempfindlichem Material, bekannt als Fotolack oder Lack, beschichtet ist. Herkömmliche optische Lithografie-Schreibmaschinen reduzieren typischerweise das
Fotomaskenmuster während des optischen Lithografieprozesses um einen Faktor von
vier. Daher müssen auf dem Retikel oder der Maske gebildete Muster viermal größer als die Größe des gewünschten Musters auf dem Substrat oder Wafer sein.
[0008] Bei der Produktion oder Herstellung von Halbleitervorrichtungen, wie etwa integrierten Schaltungen, können nicht-optische Verfahren verwendet werden, um ein Muster auf einer lithografischen Maske auf ein Substrat, wie etwa einen Siliziumwafer, zu übertragen. Die Nanoimprint-Lithografie (NIL) ist ein Beispiel für einen nichtoptischen Lithografieprozess. Bei NIL wird ein lithografisches Maskenmuster durch Kontakt der lithografischen Maske mit dem Substrat auf ein Substrat übertragen. Die Lithografiemaske von NIL wird typischerweise als Herstellung einer Oberfläche unter Verwendung von Lithografie mit Strahlen geladener Teilchen hergestellt.
[0009] Bei der Produktion oder Herstellung von Halbleitervorrichtungen, wie etwa integrierten Schaltungen, kann auch maskenloses Direktschreiben verwendet werden, um die Halbleitervorrichtungen zu fertigen. Das maskenlose Direktschreiben ist ein Druckprozess, bei dem Lithografie mit Strahlen geladener Teilchen verwendet wird, um Muster auf ein Substrat wie etwa einen Halbleiter- oder Siliziumwafer zu übertragen, um eine integrierte Schaltung zu erstellen. Zu anderen Substraten könnten Flachbildschirme, Aufdruckmasken für das Nanoimprinting oder sogar Retikel gehören. Gewünschte Muster einer Schicht werden direkt auf die Oberfläche geschrieben, die in diesem Fall auch das Substrat ist. Sobald die strukturierte Schicht übertragen ist, kann die Schicht verschiedenen anderen Prozessen unterzogen werden, wie etwa Ätzen, lonenimplantation (Dotierung), Metallisierung, Oxidation und Polieren. Diese Prozesse werden eingesetzt, um eine einzelne Schicht im Substrat fertigzustellen. Wenn mehrere Schichten erforderlich sind, wird der gesamte Prozess oder Abwandlungen davon für jede neue Schicht wiederholt. Einige der Schichten können unter Verwendung optischer Lithografie geschrieben werden, während andere unter Verwendung von maskenlosem Direktschreiben geschrieben werden können, um dasselbe Substrat zu fertigen. Außerdem können einige Muster einer gegebenen Schicht unter Verwendung optischer Lithografie geschrieben werden und andere Muster können unter Verwendung von maskenlosem Direktschreiben geschrieben werden. Schließlich wird auf dem Substrat eine Kombination von mehreren
Vorrichtungen oder integrierten Schaltungen vorhanden sein. Diese integrierten
Schaltungen werden dann durch Zerschneiden oder Sägen voneinander getrennt und dann in einzelne Gehäuse montiert. Im allgemeineren Fall können die Muster auf der Oberfläche verwendet werden, um Artefakte zu definieren, wie etwa Anzeigepixel, Hologramme oder magnetische Aufzeichnungsköpfe.
[0010] Ein Ziel bei der Fertigung integrierter Schaltungen durch optische Lithografie besteht darin, das ursprüngliche Schaltungs-Design auf einem Substrat unter Verwendung eines Retikels zu reproduzieren, wobei das Retikel, manchmal auch als Maske oder Fotomaske bezeichnet, eine Oberfläche ist, die unter Verwendung von Lithographie mit Strahlen geladener Teilchen belichtet werden kann. Hersteller von integrierten Schaltungen versuchen immer, die Halbleiterwaferfläche so effizient wie möglich zu nutzen. Ingenieure verkleinern die Größe der Schaltungen immer weiter, damit die integrierten Schaltungen mehr Schaltungselemente enthalten können und weniger Strom verbrauchen. Wenn die Größe einer kritischen Abmessung einer integrierten Schaltung verringert wird und ihre Schaltungsdichte zunimmt, nähert sich die kritische Abmessung des Schaltungsmusters oder des physischen Designs der Auflösungsgrenze des optischen Belichtungswerkzeugs, das in der herkömmlichen optischen Lithografie verwendet wird. Wenn die kritischen Abmessungen des Schaltungsmusters kleiner werden und sich dem Auflösungswert des Belichtungswerkzeugs nähern, wird die genaue Übertragung des physischen Designs auf das auf der Lackschicht entwickelte tatsächliche Schaltungsmuster schwierig. Zur Förderung der Verwendung optischer Lithografie zum Übertragen von Mustern mit Merkmalen, die kleiner als die Lichtwellenlänge sind, die bei dem optischen Lithografieprozess verwendet wird, wurde ein Prozess entwickelt, der als optische Proximity-Korrektur (OPC) bekannt ist. OPC ändert das physische Design, um Verzerrungen zu kompensieren, die durch Effekte wie optische Beugung und die optische Interaktion von Merkmalen mit benachbarten Merkmalen verursacht werden. Zu Technologien zur Auflösungsverbesserung, die mit einem Retikel durchgeführt werden, gehören OPC und inverse Lithografie- Technologie (ILT).
[0011] OPC kann Maskenmustern Subauflösungs-Lithografiemerkmale hinzufügen, um Unterschiede zwischen dem ursprünglichen physischen Design-Muster, das heißt dem
Design, und dem endgültig übertragenen Schaltungsmuster auf dem Substrat zu
reduzieren. Die Subauflösungs-Lithografiemerkmale interagieren mit den ursprünglichen Mustern im physischen Design und miteinander und kompensieren Näherungseffekte, um das endgültig übertragene Schaltungsmuster zu verbessern. Ein Merkmal, das hinzugefügt wird, um die Musterübertragung zu verbessern, wird als „Serifen“ bezeichnet. Serifen sind kleine Merkmale, welche die Präzision oder Widerstandsfähigkeit gegenüber Herstellungsschwankungen beim Drucken eines bestimmten Merkmals verbessern. Ein Beispiel für eine Serife ist ein kleines Merkmal, das an einer Ecke eines Musters positioniert wird, um die Ecke im endgültig übertragenen Bild zu schärfen. Muster, die auf das Substrat gedruckt werden sollen, werden als Hauptmerkmale bezeichnet. Serifen sind ein Teil eines Hauptmerkmals. Es ist üblich, die OPC-dekorierten Muster, die auf ein Retikel geschrieben werden sollen, in Bezug auf die Hauptmerkmale zu diskutieren, das heißt Merkmale, die das Design bevor der OPC-Dekoration widerspiegeln, und OPC-Merkmale, wobei OPC-Merkmale möglicherweise Serifen, Jogs, SRAFs (Sub-Resolution Assist Features) und negative Merkmale enthalten. OPC-Features unterliegen verschiedenen Design-Regeln, wie etwa einer Regel basierend auf der Größe des kleinsten Merkmals, das unter Verwendung optischer Lithografie auf den Wafer übertragen werden kann. Andere Design-Regeln können aus dem Maskenherstellungsprozess stammen oder, wenn ein Charakterprojektions-Schreibsystem mit Strahlen geladener Teilchen verwendet wird, um das Muster auf einem Retikel zu bilden, aus dem Schablonenherstellungsprozess. [0012] SRAF-Merkmale werden verwendet, um die Übertragung des Musters zu verbessern. Es ist häufig der Fall, dass die Präzision oder Genauigkeit, die vom Oberflächenherstellungsprozess für SRAFs verlangt wird, geringer ist als die für die Hauptmerkmale. Es gibt ähnliche Dekorationen, die von OPC als negative Merkmale eingeführt wurden. Innerhalb eines großen Merkmals können enge Räume eingeführt werden, um die lithografische Leistung zu verbessern. Enge Zwischenräume sind schwieriger zuverlässig zu schreiben als gleich schmale Linien, daher sind diese negativen Merkmale oft die schwierigsten Teile, die zuverlässig auf eine Oberfläche geschrieben werden müssen.
KURZDARSTELLUNG DER OFFENBARUNG
[0013] Verfahren zum Belichten eines Musters in einem Bereich auf einer Oberfläche unter Verwendung eines Strahlensystems geladener Teilchen beinhalten ein Eingeben eines ursprünglichen Satzes von Belichtungsinformationen für den Bereich und ein Eingeben einer Ziel-Post-Proximity-Effekt-Korrektur(PEC)-Maximaldosis. Die Ziel-PostPEC-Maximaldosis basiert auf einer maximalen Schreibzeit. Eine lokale Musterdichte wird für den Bereich des Musters basierend auf dem ursprünglichen Satz von Belichtungsinformationen bestimmt. Eine Prä-PEC-Maximaldosis wird für die lokale Musterdichte basierend auf der Ziel-Post-PEC-Maximaldosis bestimmt. Der ursprüngliche Satz von Belichtungsinformationen wird mit der Prä-PEC-Maximaldosis modifiziert, um einen modifizierten Satz von Belichtungsinformationen zu erstellen. KURZE BESCHREIBUNG DER ZEICHNUNGEN
[0014] FIG. 1 veranschaulicht ein Beispiel eines VSB-Strahlensystems geladener Teilchen (VSB: variabler Formstrahl), wie in der Technik bekannt.
[0015] FIG. 2 veranschaulicht ein Beispiel für ein elektrooptisches schematisches Diagramm eines Mehrstrahl-Belichtungssystems, wie in der Technik bekannt.
[0016] FIG. 3A-3D veranschaulichen verschiedene Arten von Schüssen, wie in der Technik bekannt.
[0017] FIG. 4 veranschaulicht ein Beispiel eines Mehrstrahl-Strahlsystems geladener Teilchen, wie in der Technik bekannt.
[0018] FIG. 5A-5C und 6A-6C veranschaulichen, wie die Dosis nach PEC für Bereiche mit sowohl großen als auch kleinen Formen beeinflusst wird, wie in der Technik bekannt. [0019] FIG. 7A-7G veranschaulichen PEC-Iterationen und resultierende Dosierungskurven einer rechteckigen Form, wie in der Technik bekannt.
[0020] FIG. 8A-8F veranschaulichen Beispiele für eine Belichtung mit niedriger Dichte bis zu einer Belichtung mit hoher Dichte, wie in der Technik bekannt.
[0021] FIG. 9A-9B veranschaulichen einen Belichtungsbereich mit niedriger Dichte, wobei eine künstliche Hintergrunddosierung gemäß Ausführungsformen der vorliegenden Offenbarung hinzugefügt wurde.
[0022] FIG. 10A-10F veranschaulichen Ausführungsbeispiele einer künstlichen
Hintergrunddosis.
[0023] FIG. 11 veranschaulicht eine beispielhafte dynamische Maximaldosis, die durch die lokale Musterdichte angepasst ist, gemäß einigen Ausführungsformen.
[0024] FIG. 12 zeigt eine Dosis-Karte von einem Leitungsende mit Linearitätskorrekturen, wie in der Technik bekannt.
[0025] FIG. 13 veranschaulicht eine Dosiskarte eines Leitungsendes mit der Post-PECMax-Dosis, gemäß einigen Ausführungsformen.
[0026] FIG. 14 veranschaulicht die Dosisspanne bei verschiedenen Post-PECMaximaldosen durch lokale Musterdichte, gemäß einigen Ausführungsformen.
[0027] FIG. 15 veranschaulicht die Dosisspanne für verschiedene Post-PECMaximaldosen durch lokale Musterdichte mit hinzugefügter künstlicher Hintergrunddosis, gemäß einigen Ausführungsformen.
[0028] FIG. 16 veranschaulicht ein konzeptionelles Flussdiagramm zum Vorbereiten einer Oberfläche oder eines Retikels zur Verwendung bei der Fertigung eines Substrats wie etwa einer integrierten Schaltung auf einem Siliziumwafer, wie in der Technik bekannt.
[0029] FIG. 17 veranschaulicht einen Ablauf, in dem eine dynamische Maximaldosis und eine künstliche Hintergrunddosis berechnet werden, gemäß einigen Ausführungsformen.
[0030] FIG. 18 veranschaulicht eine beispielhafte Computerhardwarevorrichtung, die in Ausführungsformen der Verfahren verwendet wird.
DETAILLIERTE BESCHREIBUNG DER AUSFÜHRUNGSFORMEN
[0031] Die vorliegende Offenbarung beschreibt Verfahren zum Reduzieren der Schreibzeit für einen Strahl geladener Teilchen durch Reduzieren der Dosis, die zum Belichten eines Schusses oder einer Form erforderlich ist. Zu den Verfahren Gehören ein dynamisches Berechnen einer Prä-Proximity-Effekt-Korrektur (Pre-PEC)-Maximaldosis basierend auf einer Dichte von zu schreibenden Mustern. Zu den Verfahren gehört auch ein Berechnen der Prä-PEC-Maximaldosis in einem Belichtungsbereich mit relativ geringer Dichte durch Gießen einer künstlichen Hintergrunddosis in den Bereich.
[0032] Die Kosten der Lithografie mit Strahlen geladener Teilchen stehen in direktem
Zusammenhang mit der Zeit, die zum Belichten eines Musters auf einer Oberfläche,
wie etwa einem Retikel oder einem Wafer, erforderlich ist. Herkömmlicherweise hängt die Belichtungszeit mit der Belichtungsmenge zusammen, die zum Schreiben des Musters erforderlich ist. Für die Designs komplexester integrierter Schaltungen ist das Bilden des Satzes von Schichtmustern entweder auf einem Satz von Retikeln oder auf einem Substrat ein kostspieliger und zeitaufwendiger Prozess. Es wäre daher vorteilhaft, in der Lage zu sein, die zum Bilden dieser Muster erforderliche Belichtung auf einem Retikel und anderen Oberflächen zu reduzieren, wie etwa durch Reduzieren der zum Schreiben dieser Muster erforderlichen Zeit.
[0033] Nun auf die Zeichnungen Bezug nehmend, in denen sich gleiche Zahlen auf gleiche Gegenstände beziehen, veranschaulicht FIG. 1 eine Ausführungsform eines Lithografiesystems, wie etwa ein Schreibsystem mit Strahlen geladener Teilchen, in diesem Fall ein Elektronenstrahl-Schreibsystem 100, bei dem ein variabler Formstrahl (VSB) eingesetzt wird, um eine Oberfläche 112 herzustellen. Das ElektronenstrahlSchreibsystem 100 weist eine Elektronenstrahlquelle 114 auf, die einen Elektronenstrahl 116 in Richtung einer Aperturplatte 118 projiziert. Die Platte 118 weist eine darin ausgebildete Apertur 120 auf, die den Durchgang des Elektronenstrahls 116 ermöglicht. Sobald der Elektronenstrahl 116 die Apertur 120 passiert, wird er durch ein Linsensystem (nicht gezeigt) als Elektronenstrahl 122 auf eine andere rechteckige Aperturplatte oder Schablonenmaske 124 gerichtet oder abgelenkt. In der Schablone 124 ist eine Anzahl von Öffnungen oder Aperturen 126 gebildet, die verschiedene einfache Formen wie etwa Rechtecke und Dreiecke definieren. Jede in der Schablone 124 gebildete Apertur 126 kann verwendet werden, um ein Muster in der Oberfläche 112 eines Substrats 134 zu bilden, wie etwa eines Siliziumwafers, eines Retikels oder eines anderen Substrats. Ein Elektronenstrahl 130 tritt aus einer der Aperturen 126 aus und geht durch eine elektromagnetische oder elektrostatische Reduktionslinse 138 hindurch, welches die Größe des Musters, das aus der Apertur 126 austritt, reduziert. In allgemein verfügbaren Schreibsystemen mit Strahlen geladener Teilchen beträgt der Reduktionsfaktor zwischen 10 und 60. Der reduzierte Elektronenstrahl 140 tritt aus der Reduktionslinse 138 aus und wird durch eine Reihe von Deflektoren 142 auf die Oberfläche 112 als ein Muster 128 gerichtet. Die Oberfläche 112 ist mit Lack (nicht gezeigt) beschichtet, der mit dem
Elektronenstrahl 140 reagiert. Der Elektronenstrahl 122 kann so gerichtet sein, dass er einen variablen Abschnitt einer Apertur 126 überlappt, was die Größe und die Form des Musters 128 beeinflusst. Austastplatten (nicht gezeigt) können verwendet werden, um den Strahl 116 oder den geformten Strahl 122 abzulenken, um zu verhindern, dass der Elektronenstrahl die Oberfläche 112 während eines Zeitraums nach jedem Schuss erreicht, wenn die Linsen, die den Strahl 122 lenken, und die Deflektoren 142 für den nachfolgenden Schuss neu eingestellt werden. Die Schablone 124 selbst kann als ein Deflektor 116 wirken, indem sie den Strahl ablenkt, dass er ungeöffnete Abschnitte der Schablone 124 trifft. Typischerweise sind die Austastplatten so angeordnet, dass sie den Elektronenstrahl 116 ablenken, um zu verhindern, dass er die Apertur 120 beleuchtet. Herkömmlicherweise kann der Austastzeitraum eine feste Zeitdauer sein oder kann variieren, beispielsweise in Abhängigkeit davon, wie stark der Deflektor 142 für die Position des nachfolgenden Schusses neu eingestellt werden muss. Es sei darauf hingewiesen, dass VSB-Systeme als ein spezieller (einfacher) Fall der Charakterprojektion betrachtet werden können, bei dem die Charaktere nur einfache Charaktere sind, normalerweise Rechtecke oder rechtwinklige 45-Grad-Dreiecke. Es ist auch möglich, einen Charakter teilweise zu belichten. Dies kann beispielsweise dadurch erfolgen, dass ein Teil des Teilchenstrahls blockiert wird. Im Sinne dieser Offenbarung bedeutet Teilprojektion sowohl Charakterprojektion als auch VSBProjektion.
[0034] Bei dem Elektronenstrahl-Schreibsystem 100 ist das Substrat 134 auf einer beweglichen Plattform oder Bühne 132 montiert. Die Bühne 132 ermöglicht eine Neupositionierung des Substrats 134, so dass Muster, die größer als die maximale Ablenkfähigkeit oder Feldgröße des Strahls 140 geladener Teilchen sind, auf die Oberfläche 112 in einer Reihe von Unterfeldern geschrieben werden können, wobei jedes Unterfeld innerhalb der Möglichkeiten des Deflektors 142 liegt, den Strahl 140 abzulenken. In einer Ausführungsform kann das Substrat 134 ein Retikel sein. Bei dieser Ausführungsform durchläuft das Retikel, nachdem es mit dem Muster belichtet wurde, verschiedene Herstellungsschritte, durch die es zu einer lithografischen Maske oder Fotomaske wird. Die Maske kann dann in einer Maschine zur optischen Lithografie
verwendet werden, um ein Bild des Retikelmusters 128, das im Allgemeinen
verkleinert ist, auf einen Siliziumwafer zu projizieren, um eine integrierte Schaltung zu erzeugen. Allgemeiner wird die Maske in einer anderen Vorrichtung oder Maschine
verwendet, um das Muster 128 auf ein Substrat (nicht dargestellt) zu übertragen.
[0035] Die Schussdosierung eines Schreibers mit Strahlen geladener Teilchen wie etwa eines Elektronenstrahl-Schreibsystems, ob VSB, CP oder eine Mehrstrahl-Maschine, ist in diesem VSB-Beispiel eine Funktion der Intensität der Strahlquelle 114 und der Belichtungszeit für jeden Schuss. Typischerweise bleibt die Strahlintensität fest und die Belichtungszeit wird vartiert, um variable Schussdosierungen zu erhalten. Die Belichtungszeit kann variiert werden, um eine Mittelbereichseffektkorrektur (MEC), verschiedene Fernbereichseffekte, wie etwa Ladungseffektkorrektur (LEC) und Korrektur der Schleierbildung (FEC) und Rückstreuung in einem Prozess mit der Bezeichnung Proximity-Effekt-Korrektur (PEC) zu kompensieren. In dieser Offenbarung wird der Begriff PEC verwendet, um MEC, LEC, FEC und Rückstreukorrektur zu beschreiben. Elektronenstrahl-Schreibsysteme ermöglichen normalerweise das Einstellen einer Gesamtdosierung, die als Basisdosierung bezeichnet wird und alle Schüsse in einem Belichtungsdurchgang beeinflusst. Einige ElektronenstrahlSchreibsysteme führen Dosiskompensationsberechnungen innerhalb des Elektronenstrahl-Schreibsystems selbst durch und erlauben nicht, dass die Dosierung jedes Schusses einzeln als Teil der Eingangsschussliste zugewiesen wird, wobei die Eingangsschüsse daher nicht zugewiesene Schussdosierungen aufweisen. In solchen Elektronenstrahl-Schreibsystemen weisen alle Schüsse vor PEC die Basisdosis auf. Andere Elektronenstrahlschreibsysteme ermöglichen eine Dosierungszuweisung auf einer Schuss-für-Schuss-Basis. Bei Elektronenstrahl-Schreibsystemen, die eine Dosiszuweisung Schuss für Schuss ermöglichen, kann die Anzahl der verfügbaren Dosierungsstufen 64 bis 4096 oder mehr betragen, oder es können relativ wenige verfügbare Dosierungsstufen, wie etwa 3 bis 8 Stufen, vorhanden sein. Einige Ausführungsformen der gegenwärtigen Offenbarung sind auf eine Verwendung mit Schreibsystemen mit Strahlen geladener Teilchen ausgerichtet, welche die Zuweisung
von Dosierungsniveaus ermöglichen.
[0036] Ein System mit Strahlen geladener Teilchen kann eine Oberfläche mit einer Vielzahl individuell steuerbaren Strahlen oder Beamlets belichten. FIG. 2 veranschaulicht ein elektrooptisches schematisches Diagramm, in dem drei Beamlets 210 geladener Teilchen vorhanden sind. Jedem Beamlet 210 ist eine Strahlsteuerung 220 zugeordnet. Jede Strahlsteuerung 220 kann beispielsweise zulassen, dass ihr zugeordnetes Beamlet 210 auf die Oberfläche 230 auftrifft, und kann auch verhindern, dass Beamlet 210 auf die Oberfläche 230 auftrifft. In einigen Ausführungsformen kann die Strahlsteuerung 220 auch Strahlunschärfe, Vergrößerung, Größe und/oder Form von Beamlet 210 steuern. In dieser Offenbarung wird ein System mit Strahlen geladener Teilchen, das eine Vielzahl von individuell steuerbaren Beamlets aufweist, als Mehrstrahl-System bezeichnet. In einigen Ausführungsformen können geladene Teilchen von einer einzelnen Quelle unterteilt werden, um eine Vielzahl von Beamlets 210 zu bilden. In anderen Ausführungsformen kann eine Vielzahl von Quellen verwendet werden, um die Vielzahl von Beamlets 210 zu erstellen. In einigen Ausführungsformen können die Beamlets 210 durch eine oder mehrere Aperturen geformt sein, wohingegen in anderen Ausführungsformen möglicherweise keine Aperturen vorhanden sind, um die Beamlets zu formen. Jede Strahlsteuerung 220 kann ermöglichen, dass die Belichtungsdauer seines zugeordneten Beamlets individuell gesteuert wird. Im Allgemeinen werden die Beamlets durch eine oder mehrere Linsen (nicht gezeigt) verkleinert, bevor sie auf die Oberfläche 230 auftreffen, die typischerweise mit einem Lack beschichtet ist. In einigen Ausführungsformen kann jedes Beamlet eine separate elektrooptische Linse aufweisen, während in anderen Ausführungsformen eine Vielzahl von Beamlets, einschließlich möglicherweise alle Beamlets, sich eine elektrooptische Linse teilt.
[0037] Die FIG. 3A-3D veranschaulichen verschiedene Arten von Schüssen. FIG. 3A veranschaulicht ein Beispiel eines rechteckigen Schusses 310. Ein VSB-System mit Strahlen geladener Teilchen kann zum Beispiel rechteckige Schüsse in einer Vielfalt von x- und y-Dimensionen bilden. FIG. 3B veranschaulicht ein Beispiel eines CPSchusses 320, der in diesem Beispiel kreisförmig ist. Jede Form kann als CP-Schuss dargestellt werden, wie etwa Achtecke oder komplexere Formen wie etwa der
Buchstabe E. Für die Zwecke dieser Offenbarung ist ein Schuss eine Belichtung eines
bestimmten Oberflächenbereichs über einen Zeitraum. Der Bereich kann mehrere diskontinuierliche kleinere Bereiche umfassen. Ein Schuss kann aus einer Vielzahl anderer Schüsse bestehen, die sich überlappen können oder nicht und die gleichzeitig belichtet werden können oder nicht. Ein Schuss kann eine spezifizierte Dosis umfassen, oder die Dosis kann nicht spezifiziert sein. Schüsse können einen geformten Strahl, einen ungeformten Strahl oder eine Kombination aus geformten und ungeformten Strahlen verwenden.
[0038] FIG. 3C veranschaulicht ein Beispiel eines Schusses 350, der ein Array kreisförmiger Muster 352 ist. Der Schuss 350 kann auf vielfältige Weise gebildet werden, einschließlich mehrerer Schüsse eines einzelnen kreisförmigen CP-Charakters, eines oder mehrerer Schüsse eines CP-Charakters, das ein Array kreisförmiger Aperturen ist, und einen oder mehrere Mehrstrahl-Schüsse unter Verwendung kreisförmiger Aperturen. FIG. 3D veranschaulicht ein Beispiel für einen Schuss 360, bei dem es sich um ein spärliches Array rechteckiger Muster 362 und 364 handelt. Der Schuss 360 kann auf vielfältige Weise gebildet werden, einschließlich einer Vielzahl von VSB-Schüssen, eines CP-Schusses und eines oder mehrerer Mehrstrahl-Schüsse unter Verwendung rechteckiger Aperturen. In einigen Ausführungsformen von Mehrstrahl kann der Schuss 360 eine Vielzahl von verschachtelten Gruppen anderer Mehrstrahlschüsse umfassen. Zum Beispiel können die Muster 362 gleichzeitig geschossen werden, dann können die Muster 364 gleichzeitig zu einer anderen Zeit als die Muster 362 geschossen werden.
[0039] FIG. 4 veranschaulicht eine Ausführungsform eines Belichtungssystems 400 mit Strahlen geladener Teilchen. Das System 400 mit Strahlen geladener Teilchen ist ein Mehrstrahl-System, in dem eine Vielzahl individuell steuerbarer geformter Strahlen gleichzeitig eine Oberfläche belichten kann. Das Mehrstrahl-System 400 weist eine Elektronenstrahlquelle 402 auf, die einen Elektronenstrahl 404 erstellt. Der Elektronenstrahl 404 wird durch den Kondensor 406, der elektrostatische und/oder magnetische Elemente enthalten kann, auf die Aperturplatte 408 gerichtet. Die Aperturplatte 408 weist eine Vielzahl von Aperturen 410 auf, die durch den Elektronenstrahl 404 beleuchtet werden und durch die der Elektronenstrahl 404
hindurchtritt, um eine Vielzahl geformter Beamlets 436 zu bilden. Somit umfasst das
Mehrstrahl-System 400 mit Strahlen geladener Teilchen die Quelle 402 mit Strahlen geladener Teilchen und die Aperturplatte 408, wobei die Aperturplatte 408 eine Vielzahl von Aperturen 410 umfasst, welche die Quelle 402 mit Strahlten geladener Teilchen beleuchtet. In einigen Ausführungsformen umfasst das Mehrstrahl-System mit Strahlen geladener Teilchen eine einzelne Aperturplatte 408. In einigen Ausführungsformen kann die Aperturplatte 408 Hunderte oder Tausende von Aperturen 410 aufweisen. Wenngleich FIG. 4 eine Ausführungsform mit einer einzelnen Elektronenstrahlquelle 402 veranschaulicht, können in anderen Ausführungsformen die Aperturen 410 durch Elektronen von einer Vielzahl von Elektronenstrahlquellen beleuchtet werden. Die Aperturen 410 können kreisförmig sein, wie in FIG. 4 gezeigt, oder können eine andere Form aufweisen, beispielsweise eine rechteckige Apertur 120, wie in FIG. 1 gezeigt. Der Satz von Beamlets 436 beleuchtet dann eine Austaststeuerungsplatte 432. Die Austaststeuerungsplatte 432 weist eine Vielzahl von Austaststeuerungen 434 auf, von denen jede mit einem Beamlet 436 ausgerichtet ist. Jede Austaststeuerung 434 kann ihr zugeordnetes Beamlet 436 individuell steuern, um entweder zu ermöglichen, dass das Beamlet 436 auf die Oberfläche 424 auftrifft oder um zu verhindern, dass das Beamlet 436 auf die Oberfläche 424 auftrifft. Die Zeitdauer, für die der Strahl auf die Oberfläche auftrifft, steuert die Gesamtenergie oder „Dosis“, die von diesem Beamlet aufgebracht wird. Typischerweise scannen Mehrstrahl-Schreiber den gesamten zu belichtenden Bereich. Daher ist die Schreibzeit unabhängig von der Formzahl oder der Formkomplexität konstant.
[0040] Das Substrat 426 ist auf einer beweglichen Plattform oder Bühne 428 positioniert, die unter Verwendung von Aktuatoren 430 neu positioniert werden kann. Durch Bewegen der Bühne 428 kann der Strahl 440 eine Fläche, die größer als die Abmessungen des Musters mit maximaler Größe ist, das durch die Beamlet-Gruppe 440 gebildet wird, unter Verwendung einer Vielzahl von Belichtungen oder Schüsse belichten. In einigen Ausführungsformen bleibt die Bühne 428 während einer Belichtung stationär und wird dann für eine nachfolgende Belichtung neu positioniert. In anderen Ausführungsformen bewegt sich die Bühne 428 kontinuierlich und mit variabler Geschwindigkeit. In noch anderen Ausführungsformen bewegt sich die Bühne
428 kontinuierlich, jedoch mit konstanter Geschwindigkeit, was die Genauigkeit der
Positionierung der Bühne erhöhen kann. Für jene Ausführungsformen, bei denen sich die Bühne 428 kontinuierlich bewegt, kann ein Satz Deflektoren (nicht gezeigt) verwendet werden, um den Strahl zu bewegen, um der Richtung und Geschwindigkeit der Bühne 428 zu entsprechen, wodurch es der Beamlet-Gruppe 440 ermöglicht wird, während einer Belichtung in Bezug auf die Oberfläche 424 stationär zu bleiben. In noch anderen Ausführungsformen von Mehrstrahl-Systemen können einzelne Beamlets in einer Beamlet-Gruppe unabhängig von anderen Beamlets in der Beamlet-Gruppe über die Oberfläche 424 abgelenkt werden.
[0041] Andere Arten von Mehrstrahl-Systemen können eine Vielzahl ungeformter Beamlets 436 erstellen, wie etwa durch Verwenden einer Vielzahl von Strahlquellen geladener Teilchen, um ein Array von Gaußschen Beamlets zu erstellen. Während die vorliegende Offenbarung in Bezug auf die Lithografie mit Strahlen geladener Teilchen beschrieben wird, können die beschriebenen Verfahren auch auf die Laserlithografie angewendet werden, insbesondere auf die Mehrstrahl-Laserlithografie.
[0042] Die Dosis wird bei allen hochpräzisen eBeam-Schreibern durch Steuerung der Belichtungszeit gesteuert, anstatt die Stromdichte des Strahls dynamisch zu ändern. In der Praxis versteht es sich, dass die Belichtungszeit viel genauer gesteuert werden kann als die Stromdichte. Aus diesem Grund wird eine Belichtung mit hoher Dosis erreicht, indem die Zeit, in der der Strahl eingeschaltet ist, im Vergleich zu Belichtungen mit niedriger Dosis verlängert wird. Es ist denkbar, dass ein eBeamSchreiber variable Stromdichten aufweist. Die vorliegenden Ausführungsformen dieser Offenbarung sind anwendbar, wenn eine Dosissteuerung zumindest teilweise durch Steuern der Belichtungszeit erreicht wird. Die Belichtungszeit für jedes Beamlet 436 in der Beamlet-Gruppe kann individuell unter Verwendung von Austaststeuerungen 434 gesteuert werden. Daher wird die Mehrstrahl-Schreibzeit durch die anzuwendende Maximaldosis bestimmt. Bei Schreibern, bei denen die Bühne für jede Beamlet-Gruppe stoppt - zum Beispiel ein Streifen - beeinflusst das Beamlet mit der höchsten Dosierung die Belichtungszeit für den gesamten Streifen. Bei Schreibern mit Bühnen mit konstanter Geschwindigkeit könnte die Geschwindigkeit für das gesamte Design konstant sein, bei dem es sich um eine Teilmenge eines Retikels, ein vollständiges
Retikel oder einen Wafer oder eine andere Oberfläche handeln kann, oder für eine
Zeitdauer teilweise konstant sein. Daher kann das Beamlet mit der höchsten Dosierung in einem Streifen die Bühnengeschwindigkeit und damit die Schreibzeit für ein gesamtes Design oder eine gesamte Oberfläche bestimmen. Selbst bei Bühnen mit variabler Geschwindigkeit kann sich die Geschwindigkeit nicht zu schnell ändern, da die Bühne schwer ist, um Stabilität zu gewährleisten, und die Beschleunigung oder Verlangsamung der Geschwindigkeit viel Energie erfordert und eine Quelle von Vibrationen und Unschärfe ist.
[0043] In fortgeschrittenen Prozessbereichen sind Merkmale, die präzise auf die Maske geschrieben werden müssen, kleiner als das Dreifache der Größe im Vergleich zum typischen kombinierten Unschärferadius der Vorwärtsstreuung und Lack-Unschärfe eines typischen Lacks, der verwendet wird, um die Maske schnell genug zu drucken, um von kommerziellem Wert zu sein. Bei typischen hochmodernen Masken werden SRAFs [engl. Sub-Resolution Assist Features] von weniger als 60 nm Breite auf die Maske geschrieben, wobei die kombinierte Unschärfe im Bereich über 20 nm liegt. Bei Masken für die EUV-Lithografie wird allgemein erwartet, dass SRAFs mit einer Breite von ungefähr 30 nm auf Masken allgemein erforderlich werden. In dem Schritt der optischen Proximity-Korrektur (OPC) oder der inversen Lithografietechnologie (ILT), der die Maskenformen erzeugt, kann die Waferleistung weiter verbessert werden, wenn kleinere Formen (wie SRAFs) genau gedruckt werden können. Es ist jedoch auch entscheidend, dass durch OPC/ILT erzeugte Maskenformen über Maskenprozessschwankungen hinweg tatsächlich zuverlässig auf der Maske hergestellt werden können. Masken-Design-Regeln, wie etwa die minimal zulässige Merkmalsbreite und der minimal zulässige Merkmalsabstand auf der Maske, werden aufgestellt, die den Kompromiss darstellen. OPC/ILT muss garantieren, dass die Ausgabe den Masken-Design-Regeln entspricht. Die Maskenhersteller müssen Masken herstellen, die den Masken-Design-Regeln über die Herstellungsschwankungen hinweg bis zu einer bestimmten vereinbarten Spezifikation genau entsprechen. Schmalere Formen sind schwieriger auf eine Maske zu schreiben. Eine kleine quadratische Form ist am schwierigsten zu schreiben. Da kleine quadratische Formen jedoch einen geringeren Einfluss auf die Waferleistung haben, ist es typischerweise wichtig, bei der
Verarbeitung von hochmodernen Masken schmale SRAFs genau zu schreiben.
[0044] Das Schreiben solch kleiner Formen auf eine Maske in Gegenwart anderer Formen auf derselben Maske, die größer sind, wird typischerweise mit Dosismodulation erreicht. Da die gesamte Maskenschreibzeit einer der Haupttreiber der Maskenkosten ist, wird ein Lack, dessen Empfindlichkeit ausreichend ist, um die gewünschte Genauigkeit für die größeren „Haupt“-Merkmale zu erreichen, als Kompromiss zwischen Genauigkeit, Geschwindigkeit und Kosten gewählt. Aber das Schreiben von SRAFs und anderen kleineren Formen muss auch genau durchgeführt werden, und eine übliche Technik besteht darin, die Dosis der SRAFs zu steigern - d.h. zu erhöhen - oder teilweise zu steigern, um zu bewirken, dass sie besser gedruckt werden.
[0045] In der Industrie kann die Menge, um die eine normale Dosis gesteigert wird, typischerweise vom 1,2-Fachen der Normaldosis bis zum 3-Fachen der Normaldosis vartieren, obwohl die Dosis ein beliebiges Vielfaches der Normaldosis sein kann. Eine größere Steigerung führt zu längeren Schreibzeiten, kann jedoch zu einem genaueren Drucken kleinerer Formen führen. Die FIG. 5A-5B veranschaulichen den Unterschied zwischen einer ausreichend großen Form 502 und ihrem Dosisprofil (d.h. Dosiskurve) 512 und einer kleineren SRAF-Form 506 und ihrem Dosisprofil 522, beide geschossen mit Normaldosis, gemessen entlang der Linien 504 und 508 durch die Schusskonturen der Formen 502 bzw. 506 mit dem gleichen Lackschwellenwert, wie durch die Linie 514 angezeigt. Die Formen 502 und 506 sind aufgebrochen, um rechteckige Formen anzuzeigen, die viel länger als breit sind, wobei die Normaldosis verwendet wird, um jede Form auf einen Lack mit einem Schwellenwert von der Hälfte der Normaldosis zu schießen. Dosen unterhalb des Lackschwellenwerts werden nicht gedruckt.
[0046] Bei einer VSB-Maschine sind die Schusskonturen die Formen der eBeamProjektion, wie sie an den Schreiber gerichtet sind. Bei einer Mehrstrahlmaschine sind die Schusskonturen die Formen der gewünschten Formen, wie sie an den Schreiber gerichtet sind, was zu einer Rasterung in Pixel und einer eBeam-Projektion verschiedener Dosen entsprechend den Pixeln führt, die zum Zeichnen der Form verwendet wurden. Zum besseren Verständnis werden in dieser Offenbarung Dosisprofile gezeichnet und erklärt, als ob es sich bei den Maschinen um VSB handelte, obwohl die Ausführungsformen auch für Mehrstrahl gelten können. Bei einer
Mehrstrahlmaschine verkompliziert die Rasterung in Pixel das Dosisprofil weiter und
hängt von der Position der Form relativ zum Pixelgitter ab. Für das Verständnis der Konzepte dieser Offenbarung sind diese zusätzlichen Komplexitäten des MehrstrahlSchreibens nicht relevant.
[0047] Bei ausreichend großen Formen erreicht das Dosisprofil 512 bei der Normaldosis ein Plateau. Dieses Plateau liegt bei der gleichen Dosis vor, selbst wenn die Breite der Form 502 größer ist. Bei kleineren Formen, wie durch die Form 506 veranschaulicht, ist nicht genügend Energie vorhanden, damit der Peak des Dosisprofils die Normaldosis erreicht. Die Form des Dosisprofils 522 weist kein Plateau auf. Außerdem überschreitet das Dosisprofil 522 die Lackschwellenwert 514 nicht an derselben Stelle wie die Breite der Form 506. Da nicht genügend Energie vorhanden ist, ist die belichtete Form auf dem Lack schmaler als die gewünschte Breite.
[0048] Dosisspannen der linken Flanke der Formen 502 und 506 werden durch die Flankensteilheiten 516 bzw. 528 angezeigt. Die Steilheit 528 ist flacher als die Steilheit 516. Je schmaler die Form 506 ist, desto niedriger ist das Dosisprofil und desto flacher wird die Steilheit 528. Sobald die Form 502 breit genug ist, damit das Dosisprofil 512 das Plateau trifft, ändern breitere Formen die Steilheit 516 nicht. Flachere Steilheiten haben eine schlechtere Dosisspanne, was bedeutet, dass die kritische Abmessung (CD), d.h. die Breite der Form, bei einer bestimmten Dosisschwankung größeren Schwankungen unterliegt. Der Begriff Dosisspanne beschreibt hier die Toleranz eines Musters, das durch einen Satz Schüsse mit Strahlen geladener Teilchen definiert ist, gegenüber Herstellungsschwankungen aller Art, einschließlich dosisbezogener Schwankungen. Eine bessere Dosisspanne zeigt eine höhere Toleranz an. Für den Fachmann ist allgemein klar, dass die Widerstandsfähigkeit gegenüber Dosisschwankungen ein guter Anhaltspunkt für viele Quellen von Herstellungsschwankungen ist. Um die Widerstandsfähigkeit gegenüber Herstellungsschwankungen, wie durch die Dosisspanne angezeigt, zu verbessern, besteht ein herkömmliches Verfahren darin, eine höhere als die normale Basisdosis für kleinere Formen zu verwenden, wie etwa durch das Dosisprofil 532 von FIG. 5C dargestellt. In diesem Beispiel wird eine Basisdosis von mehr als 1,0 verwendet, wobei die Dosismenge so gewählt wird, dass die CD, die durch den Abstand zwischen den
Punkten des Dosisprofils 532 angezeigt wird, welche den Lackschwellenwert 514
überschreiten, die gewünschte Breite der Zielform 506 ist. In der Industrie werden viele Kombinationen von Dosisanpassung und Formeinstellung verwendet. FIG. 5C ist ein Beispiel, bei dem nur eine Dosisanpassung durchgeführt wird. In diesem Beispiel kann, sobald die Dosis für die Form 506 berechnet ist, die Dosisspanne gemessen werden, indem die Steilheit 538 des Dosisprofils 532 dort berechnet wird, wo es den Schwellenwert 514 überschreitet. Man beachte, dass die Flankensteilheit 538 besser (d.h. sie hat eine steilere Steigung) als die Flankensteilheit 528 ist, aber immer noch schlechter als die Flankensteilheit 516 sein kann.
[0049] Die Dosisspanne ist wegen des Kontrasts auch für die Druckbarkeit der kleinen Merkmale wichtig. Kontrast ist der Unterschied der Energiemenge, die auf den Lack in der unmittelbaren Nachbarschaft innerhalb des belichteten Bereichs gegenüber der unmittelbaren Nachbarschaft außerhalb des belichteten Bereichs angewendet wird. Die unmittelbare Nachbarschaft bei der Halbleitermaskenbearbeitung kann einige Nanometer bis einige zig Nanometer betragen. Da ein Dosisprofil eine kontinuierliche Funktion in der betreffenden Längenskala ist, korrelieren Dosisspanne und Kontrast stark miteinander. Ein unzureichender Kontrast macht eine Form unauflösbar. Die Reduzierung der Mindestgröße der Formen, die mit einem gegebenen Lack zuverlässig aufgelöst werden können, ist für die Wirtschaftlichkeit der Maskenherstellung wichtig. [0050] Es gibt noch einen weiteren Faktor, der die Dosismenge beeinflusst, die direkt vom eBeam-Schreiber abgegeben werden muss, um eine Form auf die Oberfläche zu drucken. Er wird Proximity-Effekt-Korrektur (PEC) genannt und korrigiert die Rückstreuung von Elektronen, die von eBeam-„Schüssen“ um den betroffenen Bereich abgegeben werden. Die FIG. 6A-6B zeigen die resultierende Dosis entsprechend den Schüssen von den FIG. 5A-5B, nachdem PEC angewendet wurde. In FIG. 6A ist eine Rückstreuung von Elektronen, die von Form 502 und diese umgebenden Schüssen abgegeben wurden, typischerweise im Abstand von einem Schuss in der Größenordnung von 10 um, durch die gestrichelte Linie angedeutet, die eine Rückstreuung 618 darstellt. Wenn der umliegende Bereich dicht mit Belichtungen ausgefüllt ist, dann ist die Rückstreuung 618 höher. Wenn der umliegende Bereich spärlich ausgefüllt ist, ist die Rückstreuung 618 geringer, vielleicht nahe Null. PEC
optimiert, wie allgemein praktiziert, iterativ die aufgebrachte Dosis für eine
ausreichend große Form 502, so dass die für den Schuss von Form 502 aufgebrachte Dosis bewirkt, dass die Breite der Form 502 trotz der durch die Rückstreuung beigetragenen zusätzlichen Dosis genau gedruckt wird. Das korrigierte Dosisprofil 612 der Belichtung (ohne Rückstreuung) weist eine geringere Dosis als das Dosisprofil 512 auf. Die Flankensteilheit 616 ist flacher als die Flankensteilheit 516. Höhere Rückstreumengen, die von den angrenzenden Bereichen empfangen werden, verschlechtern die Dosisspanne. FIG. 6B zeigt dieselbe PEC bei Anwendung auf kleinere Formen, wie etwa für SRAFs. Da PEC normalerweise auf alle Formen aller Größen auf die gleiche Weise angewendet wird, wird das resultierende Post-PECDosisprofil 622 nach der Anwendung von PEC noch kleiner (ohne die von der Rückstreuung stammende Grunddosis, wie durch die horizontale gestrichelte Linie für die Rückstreuung 618 gezeigt) im Vergleich zum Dosisprofil 522. Die Flankensteilheit 628 ist daher noch flacher als die Flankensteilheit 528 und wesentlich flacher als die Flankensteilheit 616, wodurch die Dosisspanne schlechter wird und diese Formen viel anfälliger für Auswirkungen von Herstellungsschwankungen sind. FIG. 6C zeigt das Post-PEC-Dosisprofil des in FIG. 5C beschriebenen Schusses, wo die Dosis erhöht wurde, um die gewünschte kritische Abmessung (CD) zu erreichen. Die Flankensteilheit 638 ist flacher (schlechter) als die Prä-PEC-Flankensteilheit 538, bevor PEC angewendet wird, aber besser als die Normaldosis, die Post-PECFlankensteilheit 628.
[0051] Die Rückstreuung wird durch geladene Teilchen wie etwa Elektronen verursacht, die nach der Kollision mit dem Lack und Materialien unter dem Lack „Zurückprallen‘“. Der effektive Rückstreuradius kann beispielsweise 10 Mikrometer betragen - viel größer als die Größe eines Schusses. Daher erhöht die gesamte Rückstreuung von nahegelegenen Schüssen innerhalb dieses effektiven Radius eines Schusses die Dosierung an der Position des Schusses. Wenn dies nicht korrigiert wird, kann die Rückstreuung dem Schuss mehr Dosis hinzufügen als die beabsichtigte Dosis des Schusses, wodurch Muster breiter registriert werden - vor allem in Bereichen mit hoher Belichtungsdichte.
[0052] Die FIG. 7A-7G zeigen eine Iterationssequenz, die von PEC angewendet wird,
um Dosismengen zu korrigieren, die zum Schießen der Formen verwendet werden, um
Rückstreueffekte zu korrigieren, wie in der Technik bekannt. FIG. 7A veranschaulicht ein Beispiel einer Kontur eines rechteckigen Schusses 702. Die Unterbrechungen zeigen, dass der Schuss 702 in vertikaler Richtung beliebig lang sein kann. Die Breite des Schusses 702, der Abstand zwischen „g“ und „h‘“, wird zu Illustrationszwecken in diesem Beispiel unter der Annahme eines üblicherweise verwendeten Maskenherstellungsprozesses zwischen 100 nm und 300 nm angenommen, was deutlich geringer als der angenommene Rückstreubereich von ungefähr 10 um ist. Schussgrößen von Mehrstrahlmaschinen sind typischerweise 5-20 nm. Im Fall einer Mehrstrahlmaschine schießt eine Sammlung von miteinander kombinierten Schüssen eine Form wie etwa Schuss 702. Rückstreuung betrifft einen einzelnen Schuss auf dieselbe Weise wie eine Sammlung von Schüssen, und die PEC-Korrektur wird auf dieselbe Weise angewendet. FIG. 7B veranschaulicht ein Beispiel eines Dosierungsdiagramms 710, das die Dosierung entlang der Linie 704 durch die Schusskontur 702 mit einer normalen Schussdosierung fast ohne Rückstreuung veranschaulicht. Es wird auch angenommen, dass andere Fernwirkungseffekte nichts zur Hintergrundbelichtung von FIG. 7B beitragen, was zu einem Hintergrundbelichtungsniveau nahe Null führt. Die dem Lack zugeführte Gesamtdosierung ist auf der y-Achse veranschaulicht und beträgt das 1,0-Fache der Normaldosis. Aufgrund der Hintergrundbelichtung nahe Null sind die Gesamtdosierung und die Schussdosierung nahezu gleich. Das Dosierungsdiagramm 710 veranschaulicht auch einen Lackschwellenwert 714 bei der Hälfte der Normaldosierung. Die CDSchwankung der durch das Dosierungsdiagramm 710 dargestellten Form in der xRichtung steht in umgekehrter Beziehung zur Steilheit der Dosierungskurve (d.h. dem Dosisprofil) 712 an den x-Koordinaten „g“ und „h‘“, wo sie den Lackschwellenwert 714 schneidet. Die Flankensteilheit 716 der Dosierungskurve 712 am Schwellenwert 714 ist als Hypotenuse eines rechtwinkligen Dreiecks dargestellt.
[0053] Der Zustand von FIG. 7B einer Hintergrundbelichtung nahe Null spiegelt nicht die tatsächlichen Designs wider. Tatsächliche Designs haben typischerweise viele weitere Schüsse innerhalb der Rückstreudistanz von Schuss 702. FIG. 7C veranschaulicht ein Beispiel eines Dosierungsdiagramms 720 eines Schusses mit einer
Normaldosierung mit einer Rückstreuung 728, die aus einer Belichtungsdichte von 50 %
resultiert. Im Dosierungsdiagramm 720 veranschaulicht die Dosierungskurve 722 die Querschnittsdosierung des Schusses 702 zusätzlich zur Hintergrundbelichtung (Rückstreuung 728). Die Flankensteilheit 726 der Dosierungskurve 722 am Schwellenwert 714 ist als Hypotenuse eines rechtwinkligen Dreiecks dargestellt. Die CD-Schwankung der Kurve 722 ist größer (schlechter) als die CD-Schwankung der Kurve 712, wie durch die flachere Flankensteilheit 726, wo die Kurve 722 den Lackschwellenwert 714 über die Punkte „g“ und „h“ hinaus schneidet, verglichen mit der Flankensteilheit 716 angezeigt. Die flachere Flankensteilheit 726 ist darauf zurückzuführen, dass der Lackschwellenwert den unteren Teil der Dosiskurve schneidet, wo die Dosisspanne aufgrund der durch die Rückstreuung 728 verursachten Hintergrundbelichtung schlechter ist. Der Schnitt der Dosierungskurve 722 an dem Lackschwellenwert 714 ist breiter als die Punkte „g“ und „h‘“, was anzeigt, dass die gedruckte CD größer als die gewünschte Größe wäre. Die Rückstreuung 728 führt zu einer „Vorbelichtung“ des Lacks, so dass das Schießen der Dosierungskurve 722 mit normaler Dosis in Gegenwart der Rückstreuung 728 bewirkt, dass die CD größer als
gewünscht gedruckt wird.
[0054] FIG. 7D veranschaulicht eine neue Dosiskurve 732 nach PEC. Das Zwischendosisdiagramm 725 zeigt immer noch 50 % Rückstreuung 728, jedoch mit einer reduzierten Dosiskurve 732, die so berechnet wurde, dass bei der aktuellen Rückstreuung der Schnitt der Dosiskurve 732 und der Lackschwellenwert 714 genau bei „g‘“ und „h“ zu liegen kommt. Dies ist das Ergebnis einer ersten Iteration von PEC, um die Überdosierung zu korrigieren, die von dem Vorhandensein von Rückstreuung herrührt. In dieser Iteration wird angenommen, dass die Rückstreumenge dieselbe wie die Rückstreumenge 728 ist, da es keine Möglichkeit gibt, zu wissen, wie die neue Rückstreuung sein wird, nachdem PEC für alle umgebenden Schüsse durchgeführt wurde. Die PEC-Berechnung verringert die auf den Schuss 702 aufgebrachte Dosis, so dass der Lackschwellenwert 714 das Dosisprofil 732 bei „g“ und „h“ schneidet. Die Flankensteilheit 736 der Dosierungskurve 732 beim Schwellenwert 714 ist als
Hypotenuse eines rechtwinkligen Dreiecks dargestellt. Es sei darauf hingewiesen, dass
die Steilheit 736 flacher als die Steilheit 716 ist, da die PEC die Dosisspanne verschlechtert.
{9055} Das Dosierungsdiagramm 730 von FIG. 7E veranschaulicht, warum PEC mehrere Iterationen benötigt. Die wie in FIG. 7D veranschaulicht durchgeführte PECBerechnung trifft „g“ und „h“ genau dann und nur, wenn die Rückstreuung 738 mit der Rückstreuung 728 identisch ist. Da jedoch PEC für alle Schüsse durchgeführt wird, wird die Rückstreuung 738 verringert, da auf alle Schüsse um diesen Schuss herum PEC angewendet werden würde, was dazu führt, dass die Dosis jedes Schusses abnimmt (da die Dosis dieses Schusses von der Kurve 722 auf die Kurve 732 verringert wurde). Die neue Rückstreuung ist in Fig. 7E als Rückstreuung 738 angegeben. Die Rückstreuung 738 ist geringer als die Rückstreuung 728. Wie zu sehen ist, führt die Reduzierung der Rückstreuung zu einer kleineren registrierten Mustergröße als die gewünschte Größe des Originalschusses 702.
[0056] Die zweite Iteration von PEC korrigiert dies, indem PEC mit dieser neuen (reduzierten) Rückstreumenge (Rückstreuung 738) neu berechnet wird. Jetzt mit niedrigerer Rückstreuung muss das Dosisprofil 732 mit erhöhter Dosis verstärkt werden, um die Ziele „g“ und „h“ zu treffen, um eine Form wie den Schuss 702 mit der richtigen CD zu drucken.
[0057] Ein Verstärken der Dosis für PEC bei allen Formen eines Designs, wie im Dosisdiagramm 740 von FIG. 7F führt zu einem Dosisprofil 742, das höher als die Dosierungskurve 732 ist. Anschließend wird, nachdem alle anderen Formen in der Nachbarschaft angepasst wurden, eine höhere Rückstreuung 748 als die Rückstreuung 738 resultieren. Die dritte Iteration von PEC korrigiert dies, indem PEC erneut mit dieser neuen (erhöhten) Rückstreumenge neu berechnet wird. Diese Iterationen werden abwechselnd fortgesetzt, bis eine Konvergenz innerhalb einer vorbestimmten Toleranz der Rückstreumenge vorliegt, wie bei dem Dosisniveau 758 in dem Dosisdiagramm 750 von FIG. 7G angezeigt, und das Dosisprofil 752 korrekt die gewünschte Größe des Originalschusses 702 beim Schwellenwert 714 erzeugt. Die konvergierten Dosismengen werden dann verwendet, um die einzelnen Formen auf die Maske zu schießen, was zu einem rückstreukorrigierten Dosissatz für alle Formen als PEC-Ergebnis führt.
24 / 70
[0058] Die FIG. 8A-8B veranschaulichen ein Beispiel dafür, wie PEC isolierte Formen beeinflusst. In FIG. 8A sind die Formen 810 und 815 isolierte Muster in einem Bereich, der ansonsten von keiner anderen Belichtung eingenommen wird. Die Anfangsdosis kann für beide Formen mit dem 1,0-fachen der Normaldosis berechnet werden. FIG. 8B zeigt, dass Dosierungen, die nach der PEC berechnet wurden, immer noch ungefähr 1,0 der Normaldosis betragen und praktisch unbeeinflusst sind, da keine andere umgebende Rückstreuung als die von den Formen 810 und 815 beigesteuerte Rückstreuung vorhanden ist.
[0059] Die FIG. 8C-8D veranschaulichen ein Beispiel dafür, wie PEC Formen in Bereichen mit einer Belichtungsdichte von 50 % beeinflusst; das heißt, in denen 50 % der Fläche mit Mustern bedeckt sind. In FIG. 8C sind die Formen 820, 822, 824, 826 und 828 in einem sich wiederholenden Linien- und Zwischenraummuster gezeigt, wobei die dosierte Form und der Zwischenraum gleich breit sind, wobei sich das Linien- und Zwischenraummuster für einen effektiven Radius von 10 um in alle Richtungen wiederholt. Die Anfangsdosis für jede Form kann mit dem 1,0-Fachen der Normaldosis berechnet werden. FIG. 8D zeigt als ein Beispiel, dass wegen der umgebenden Rückstreuung von benachbarten Formen während der PEC die Dosierung für alle Formen in dem sich wiederholenden Muster auf 0,67 der Normaldosis reduziert wird.
[0060] Die FIG. 8E-8F veranschaulichen ein Beispiel dafür, wie PEC Formen in Bereichen hoher Dichte beeinflusst. In FIG. 8E sind die Formen 830, 832 und 834 gezeigt, wobei die Form 832 die Form von Interesse ist. Die Formen 830 und 834 sind große Belichtungsbereiche mit einer Breite von mehr als einem effektiven Radius von 10 um Breite, und das Muster ist nach oben und unten um einen effektiven Radius von 10 um verlängert. Die Anfangsdosis für jede Form kann mit dem 1,0-Fachen der Normaldosis berechnet werden. FIG. 8F zeigt, dass wegen der umgebenden Rückstreuung von benachbarten Formen während der PEC die Dosierung beispielsweise auf 0,5 der Normaldosis für die Form 832 reduziert wird. Eine PEC reduziert mathematisch die Dosis jeder Belichtung um genau den richtigen Betrag, um die CD gleich dem zu machen, was die CD bei einer ausreichend großen Form ohne
Rückstreuung gewesen wäre. PEC lässt daher den Effekt der Rückstreuung effektiv
verschwinden. Rückstreuung ist immer ein positiver Wert. Daher reduziert PEC immer die Dosis, um dies zu kompensieren.
[0061] Da PEC durch „Abflachen“ der Gaußschen Kurve funktioniert, ist die Dosis, die benötigt wird, um die Form in einem Bereich mit hoher Rückstreuung zu belichten, deutlich geringer. Der Unterschied in der erforderlichen Dosis zwischen dem Bereich mit hoher Rückstreuung (die Form ist von einer hohen Dosisdichte umgeben) und einem Bereich ohne Rückstreuung (die Form ist von einer geringen Dosisdichte umgeben) kann bis zu 2X oder mehr betragen. Die modifizierte Dosis Dpec eines Pixels oder eines Schusses mit normaler Dosis für die erste Iteration der PEC-Berechnung
wird durch die folgende Formel angegeben:
[0062] Dpec = Temp
[0063] Am Lackschwellenwert von 0,5 der Normaldosis ist Temp der Anteil der Vorwärtsstreuung am Lackschwellenwert und eta(n) ist die Normierungskonstante. Bei Temp von 0,5, einem eta(n) von 0,5 und einer Belichtungsdichte von 100 % wird Dpec ZU 0,5 berechnet. Wenn einem SRAF zugewiesen wird, mit der doppelten Normaldosis (2,0-fach) geschossen zu werden, dieser sich jedoch in einem Bereich hoher Dichte mit hoher Rückstreuung befindet, erhalten die Pixel oder Schüsse am Ende ungefähr das 1,0-Fache der Normaldosis, da 0,5*(2,0) = 1,0 nach der ersten Iteration der PECBerechnung.
[0064] Dies liegt daran, dass der Bereich weitgehend mit Energie aus der Rückstreuung belichtet wird. Es wird also nur ein Bruchteil der Energie dieses Pixels oder dieses Schusses benötigt, um genügend Energie abzugeben, um den Schwellenwert zum Belichten des Lacks zu erreichen.
[0065] Bereiche mit nahezu null Rückstreuung benötigen die meiste eBeam-Dosis pro Schuss. Daher wird bei einem Mehrstrahlschreiber mit konstanter Schreibzeit die Schreibzeit der gesamten Maschine durch das Schreiben dieser isolierten Muster mit der höchsten verstärkten Dosis, typischerweise für schmale Formen, wie etwa für SRAFs, gesteuert. Typische Testmasken weisen Muster in Bereichen hoher Dichte auf, die mit Mustern in Bereichen niedriger Dichte gemischt sind. Typische
Produktionsmasken weisen viel weniger Schwankungen auf. Einige Masken haben
Muster, die alle dicht sind (zum Beispiel 70 % Belichtungsdichte im Durchschnitt). Andere Masken haben Muster, die alle spärlich sind (zum Beispiel 25 % Belichtungsdichte im Durchschnitt). Da viele Produktionsmasken jedoch einige Testmuster in sich kombinieren, kann eine geringe Schwankung nicht gewährleistet werden. In jedem Fall wird die Mehrstrahl-Schreibgeschwindigkeit, insbesondere bei Schreibern mit konstanter Schreibzeit, durch das Pixel bestimmt, das eine hohe verstärkte Dosis in einer Umgebung mit weniger Rückstreuung kombiniert. In geringerem Maße, aber immer noch erheblich, wird die Schreibgeschwindigkeit von Schreibern mit variabler Schreibzeit, wie etwa VSB-Schreibern und möglicherweise Mehrstrahlschreibern, durch den Schuss gesteuert, der eine hohe verstärkte Dosis in einer Umgebung mit weniger Rückstreuung kombiniert.
[0066] KÜNSTLICHE HINTERGRUNDDOSIS
[0067] In einigen Ausführungsformen wird eine künstliche Hintergrunddosis in Bereiche mit ansonsten geringer Rückstreuung eingeführt, um die Pixel- oder Schussdosis zu reduzieren und somit die Gesamtschreibzeit zu reduzieren. Infolgedessen haben die Pixel oder Schüsse eine niedrigere Dosis nach PEC, wodurch das Maximum der Pixeloder Schussdosen für eine Maske oder einen Abschnitt der Maske verringert wird, die Bewegungsgeschwindigkeit der Bühne erhöht wird und dadurch die Gesamtschreibzeit erheblich reduziert wird.
{9068} Die FIG. 9A-B veranschaulichen, wie ein Einführen einer künstlichen Hintergrunddosis gemäß einigen Ausführungsformen eine Dichte von 50 % ähnlich den FIG. 8C und 8D nachahmen kann. FIG. 9A zeigt ähnliche isolierte Formen 910 und 915 wie FIG. 8A, jedoch mit einer zusätzlichen künstlichen Hintergrunddosis in Form einer Form 913. In diesem Beispiel wird die Anfangsdosis wie zuvor auf das 1,0-Fache der Normaldosis für die Formen 910 und 915 und eine künstliche Hintergrunddosis, die 0,30 der Normaldosis für die Form 913 beträgt, eingestellt. Es ist wichtig zu beachten, dass eine auf diese neue Form 913 aufgebrachte Dosis unterhalb des Druckschwellenwerts liegt. FIG. 9B zeigt eine ähnliche Dosierungsreduktion bei 0,67 der Normaldosis nach PEC wie FIG. 8D, wobei die Gesamtdosis reduziert wird, die zum Drucken der zuvor isolierten Formen 910 und 915 erforderlich war. In einigen
Ausführungsformen wird die Form 913 auch auf 0,20 der Normaldosis reduziert. In
diesem vereinfachten Beispiel wird angenommen, dass sich die Form 913 über den Rückstreuradius hinaus von den Formen 910 und 915 weg erstreckt. In den meisten Situationen wird die Form 913 stark verkleinert, um die künstliche Hintergrunddosis nur in dem die Formen 910 und 915 unmittelbar umgebenden Bereich hinzuzufügen. Andere derartige künstliche Hintergrunddosen für andere Formen, welche die Formen 910, 913 und 915 umgeben, fügen diesen Formen tatsächliche Rückstreuung hinzu. In den vorliegenden Ausführungsformen werden alle diese Energiebeiträge unter Verwendung von PEC berechnet, wenn die tatsächliche Post-PEC-Dosis der Formen 910 und 915 berechnet wird. In einigen Ausführungsformen wird die Dosis der Form 913 nicht durch alle Iterationen von PEC modifiziert. In einigen Ausführungsformen wird die Dosis der Form 913 durch alle Iterationen von PEC modifiziert.
[0069] Eine künstliche Hintergrunddosis kann Bereichen hinzugefügt werden, in denen die Rückstreuung niedrig genug ist, in denen die Post-PEC-Dosisspannen gemäß praxisorientierten Parametern deutlich besser als „gut genug“ sind. Die FIG. 10A-10F veranschaulichen einige Beispiele für künstliche Hintergrunddosen, wobei die hinzugefügte künstliche Hintergrunddosis in Form eines zusätzlichen Musters (Muster mit gestrichelten Fülllinien) vorliegt, das in Kombination mit dem gewünschten Muster geschossen wird. Die künstliche Hintergrunddosis ist eine unterschwellige Belichtung; das heißt, eine Dosierung, die niedriger als der zum Drucken erforderliche Lackschwellenwert ist. FIG. 10A zeigt, wie die Form 1001 mit künstlicher Hintergrunddosis über einen Bereich abgegeben werden kann, der eine Form 1000 mit gewünschtem Muster enthält, die auf eine Oberfläche gedruckt werden soll, wobei das Muster in dem Bereich vollständig bedeckt wird. FIG. 10B zeigt die Form 1002 mit künstlicher Hintergrunddosis in dem Bereich, außer wo die Form 1000 mit gewünschtem Muster bereits existiert, um das Muster nicht zu überdecken. FIG. 10C zeigt die Form 1003 mit künstlicher Hintergrunddosis in dem Bereich, der die Form 1000 mit gewünschtem Muster mit einer gewissen Spanne umgibt, beispielsweise bis zu 3 Sigma vom Rand des Musters entfernt. Für ausreichend große Muster wie in einer Musterform 1010 in FIG. 10D werden die Formen 1011 und 1012 mit künstlicher Hintergrunddosis nur über einen vorbestimmten Abstand von einer Flanke der
Musterform 1010 hinaus aufgebracht, die auf eine Oberfläche gedruckt werden soll.
Das Erstellen einer Spanne um ein Merkmal herum, beispielsweise eine Flanke, ein Linienende oder ein SRAF, um eine künstliche Hintergrunddosis derart aufzubringen, kann in manchen Fällen besser sein, als die Hintergrundbelichtung überall zu erhöhen. Die künstliche Hintergrunddosis kann alternativ an beliebiger Stelle dem Bereich hinzugefügt werden, wie etwa in jeder der FIG. 10E und 10F gezeigt, wobei die Formen 1004 und 1005 mit künstlichem Hintergrund die gewünschte Musterform 1000 jeweils teilweise abdecken.
[0070] DYNAMISCHE MAXIMALDOSIS
[0071] Eine künstliche Hintergrunddosis kann bis zu einem bestimmten Dosisniveau unterhalb des Lackschwellenwerts sicher hinzugefügt werden. Die Maschinenschreibzeit hängt von der Post-PEC-Maximaldosis ab. Eine Möglichkeit, eine bestimmte Schreibzeit sicherzustellen, besteht darin, die Post-PEC-Maximaldosis zu begrenzen und jede Dosis darüber zu verringern. Das Verringern der Dosis kann jedoch zu Druckfehlern führen. In den vorliegenden Ausführungsformen werden Verfahren, die möglicherweise als dynamische Maximaldosis (DMD) bezeichnet werden, beschrieben, bei denen eine Ziel-Post-PEC-Maximaldosis ausgewählt und verwendet wird, um eine Prä-PEC-Maximaldosis zu bestimmen. Die Berechnungen werden dynamisch basierend auf der Musterdichte derart durchgeführt, dass die resultierenden Post-PEC-Dosen die gewählte (Ziel-)Post-PEC-Maximaldosis nicht überschreiten, wodurch die Notwendigkeit einer Dosiskürzung entfällt. In einigen Ausführungsformen wird eine Ziel-Post-PEC-Maximaldosis eingegeben. FIG. 11 zeigt ein Beispiel für das Berechnen einer Prä-PEC-Maximaldosis zum Erreichen einer Post-PECMaximaldosis unter Verwendung eines Linienabstandsmusters bei 50 % Dichte (d.h. einem Wert von 0,5) als Beispielszenario. In dieser Ausführungsform führt eine PräPEC-Maximaldosis 1110 von 1,5 nach herkömmlichen PEC-Verfahren zu einer Post-PECMaximaldosis 1120 von 1,07. Diese Berechnung der Post-PEC-Maximaldosis 1120 aus der Prä-PEC-Maximaldosis 1110 ist durch den Abwärtspfeil in Fig. 11 angegeben. Eine Prä-PEC-Dosis von 1,5 würde normalerweise Merkmale drucken, die größer als ihre gewünschte Größe sind, wobei jedoch eine solche Dosis für kleine Merkmale als ein Verfahren zum Durchführen einer Linearitätskorrektur erwünscht sein kann. Gemäß
einigen Ausführungsformen kann ein Benutzer entscheiden, dass die Post-PEC-Dosis
1,07 für alle zu schreibenden Musterdichten ausreichend ist, und kann die Dosis 1120 (Dosiswert von 1,07) als die Ziel-Post-PEC-Maximaldosis wählen. Folglich wird für andere Musterdichten (z.B. 10 %, 20 % usw.) eine Prä-PEC-Maximaldosis basierend auf der gewählten Post-PEC-Maximaldosis berechnet (d.h. eine Prä-PEC-Dosis, die zu der Ziel-Post-PEC-Maximaldosis führt), wie durch die Aufwärtspfeile in FIG. 11 angegeben. Die Berechnungen zwischen Prä-PEC- und Post-PEC-Dosen können unter Verwendung herkömmlicher PEC-Verfahren durchgeführt werden, wenngleich ein Berechnen einer Prä-PEC-Dosis basierend auf einer Ziel-Post-PEC-Maximaldosis und angepasst an eine geschriebene Musterdichte wie in den vorliegenden Ausführungsformen beschrieben, in der Technik nicht in Betracht gezogen wurde.
[0072] Zurück zu den FIG. 8A-8F, insbesondere den FIG. 8B, 8D und 8F veranschaulichen diese Figuren, dass mit zunehmender Musterdichte eine durch PEC korrigierte Dosis reduziert wird, um zusätzliche Belichtung durch erhöhte Rückstreuung zu kompensieren. Außerdem reduziert die Zunahme der Rückstreuung und die resultierende PEC-Dosisreduzierung auch die Dosisspanne, wie in den Fig. 7A7G veranschaulicht. Daher würde eine normale Dosis zu einer geringeren Dosisspanne führen, wenn die Musterdichte zunimmt. In einigen Ausführungsformen beinhaltet ein Bereitstellen einer ausreichenden Dosisspanne ein Erhöhen der Dosis, derart, dass die Post-PEC-Maximaldosis innerhalb einer voreingestellten Grenze liegt (wie das 0,7- bis 1,3-Fache der Normaldosis), und ein Durchführen einer Linearitätskorrektur, um ein korrekte Größe der Form sicherzustellen.
[0073] Die FIG. 12-13 veranschaulichen, wie eine Dosisspannenverstärkung mit einem Post-PEC-Dosismaximum mit Pixeldosis-Array-Formdaten erreicht werden kann. Wie dem Fachmann bekannt ist, weist bei einem hochmodernen Maskenprozess bei der Herstellung von Halbleitervorrichtungen, wenn beispielsweise Formen mit einer Maskenabmessung von weniger als ungefähr 100 nm mit einem normalen 1,0-DosisSchuss belichtet werden, die Flanke eine Dosisspanne auf, die schlimmer als bei größeren Schüssen ist. FIG. 12 veranschaulicht ein Pixelarray 1200, bei dem Pixeldosen Linearitätskorrekturen widerspiegeln, die durchgeführt werden, um die Dosisspanne für ein schmales Linienende mit einer Breite von 60 nm zu verbessern. In diesem
Beispiel beträgt die Pixelgröße 10 nm sowohl in X- als auch in Y-Richtung. Aus diesen
Daten wird eine berechnete Flanke 1210 bestimmt, die ein Muster von Linienenden darstellt. Das Kürzen einer Dosis über 1,0 würde hier zu einer kleineren Form, die durch 1220 angezeigt wird, mit einer schlechteren Dosisspanne führen.
[0074] Im Gegensatz dazu zeigt FIG. 13, wie gemäß einigen Ausführungsformen Belichtungsinformationen modifiziert werden können, um die Mustergröße beizubehalten, während die Schreibzeit reduziert und eine akzeptable Dosisspanne erreicht wird. In diesem Beispiel veranschaulicht FIG. 13 für eine Ausführungsform, bei der eine Ziel-Post-PEC-Maximaldosis von 0,9 verwendet wird, Post-PEC-Dosen, die sich aus den modifizierten Belichtungsinformationen ergeben. Die Dosisspanne in FIG. 12 ist besser als die Dosisspanne in FIG. 13; jedoch ist die Dosisspanne in FIG. 12 im Vergleich zu einer vorbestimmten Schwellendosisspanne möglicherweise viel größer als erforderlich. In FIG. 13 ist die Dosisspanne ebenfalls besser als die Schwellendosisspanne, wobei jedoch die Schreibzeit kürzer als in FIG. 12 ist, da die Dosen kleiner sind. FIG. 13 zeigt ein resultierendes Pixelarray 1300, das die durch 1310 angezeigte Größe mit Pixeldosen unterhalb der Maximaldosis und mit einer ausreichenden Dosisspanne erreichen würde.
[0075] Optimierungstechniken können verwendet werden, um die niedrigste Dosierung zu bestimmen, die in inneren Abschnitten des Musters erreicht werden kann, wie im US-Patent 9,372,391, „Method and System for Forming Patterns Using Charged Particle Beam Lithography with Variable Pattern Dosage“ offenbart ist, das Eigentum des Anmelders der vorliegenden Anmeldung ist und hiermit durch Bezugnahme aufgenommen wird. In einigen Ausführungsformen beinhalten diese Optimierungstechniken ein Berechnen der Lackreaktion auf den Satz von Schüssen, wie etwa unter Verwendung einer Partikelstrahlsimulation, um zu bestimmen, dass der Satz von Schüssen das gewünschte Muster gemäß einem bestimmten Parameter bildet, wie etwa innerhalb einer vorgegebenen Toleranz. Es sei darauf hingewiesen, dass beim Erstellen von Schüssen für einen Schreiber mit Strahlen geladener Teilchen, der nur Schüsse nicht zugewiesener Dosierungen unterstützt, Lücken in inneren Bereichen des Musters verwendet werden können, um die Flächendosierung zu reduzieren. Durch eine Simulation, insbesondere bei den „selteneren Fällen“ der
Herstellungstoleranz, können Designs mit geringeren Dosen oder Lücken vorbestimmt
werden, um die gewünschten Formen mit reduzierter Schreibzeit und verbesserter Flankensteilheit sicher zu schießen. Ebenso können überlappende Schüsse verwendet werden, um die Flächendosierung zu erhöhen. Die Verwendung von überlappenden Schüssen ist im US-Patent 7,754,401 „Method for Manufacturing a Surface and Integrated Circuit Using Variable Shaped Beam Lithography“ offenbart, das Eigentum des Anmelders der vorliegenden Anmeldung ist und hiermit durch Bezugnahme aufgenommen wird.
[0076] FIG. 14 veranschaulicht eine grafische Darstellung von Dosisspanne gegenüber Musterdichte für Post-PEC-Maximaldosen von 1, 0,9, 0,8 und 0,75. Eine akzeptable Dosisspanne von 0,5 in dieser Ausführungsform ist durch eine gestrichelte Linie 1450 dargestellt. Die grafische Darstellung veranschaulicht, dass Post-PEC-Maximaldosen von 1,0 (Kurve 1410) und 0,9 (Kurve 1420) für eine gegebene Musterdichte gegenüber der Prä-PEC-Normaldosis von 1,0 (Linie 1400), welche die akzeptablen Dosisspanne für Musterdichten unter etwa 0,3 (d.h. 30 %) überschreitet, selbst bei höheren Musterdichten zu akzeptablen Dosisspannen führen (d.h. unterhalb der Linie 1450). Das heißt, bei einer Normaldosis, die durch die gestrichelte Linie 1400 gezeigt ist, wird die Dosisspanne mit zunehmender Musterdichte schlechter. Um dieses Problem der Steuerung der Dosisspanne anzugehen, wird in einigen Ausführungsformen eine Zieldosisspanne zusammen mit der Musterdichte berücksichtigt, wenn eine Ziel-PostPEC-Maximaldosis gewählt wird. Zum Beispiel veranschaulicht der in FIG. 14 gezeigte Bereich von Post-PEC-Maximaldosen, dass für alle Musterdichten mit Post-PECMaximaldosen von 0,9 entlang der Linie 1420 bis 1,0 entlang der Linie 1410 eine Dosisspanne unter 0,5, wie entlang der punktierten Linie 1450 angezeigt, noch ausreichend ist. Eine Post-PEC-Maximaldosis von 0,9 reduziert die Schreibzeit um 10 % im Vergleich zu einer Post-PEC-Maximaldosis von 1,0. Bei niedrigeren Post-PECMaximaldosen von 0,8 entlang der Linie 1430 und 0,75 entlang der Linie 1440 wird die Dosisspanne für Musterdichten unter 30 % schlechter. Somit kann ein Benutzer 0,9 als die Ziel-Post-PEC-Maximaldosis wählen, um die Schreibzeit zu begrenzen, während gleichzeitig die Zieldosisspanne eingehalten wird.
[0077] DYNAMISCHE MAXIMALDOSIS MIT KÜNSTLICHER HINTERGRUNDDOSIS
[0078] FIG. 15 ist eine grafische Darstellung von Dosisspanne gegenüber Musterdichte, wenn eine künstliche Hintergrunddosis hinzugefügt wird. Durch Hinzufügen einer künstlichen Hintergrunddosis in den Bereichen 1510, in denen die Musterdichten unter einem bestimmten Schwellenwert liegen, wie etwa 30 %, kann eine akzeptable Dosisspanne erreicht werden, wie in FIG. 15 gezeigt. Das heißt, die Dosisspanne in Bereich 1510 von FIG. 15 ist konstant, was eine Verbesserung gegenüber den vartierenden Dosisspannen vs. Musterdichte im gleichen Bereich von FIG. 14 darstellt. In einigen Ausführungsformen wird eine künstliche Hintergrunddosis hinzugefügt, um eine lokale Musterdichte bis zu einem gewünschten Schwellenwert, wie etwa 30 %, Zu erhöhen, was eine Reduktion der Maximaldosis von bis zu 25 % mit ausreichender Dosisspanne ermöglicht. Darüber hinaus wird die Prä-PEC-Maximaldosis berechnet, um neben anderen Maßen für die Widerstandsfähigkeit gegenüber Herstellungsschwankungen die Gleichmäßigkeit der kritischen Abmessungen (CDU) und die Linienflankenrauheit (LER) zu verstärken. Zur Verbesserung der CDU und LER gehören eine Verstärkung der Dosisspanne und eine Verbesserung der Einheitlichkeit der Dosisspanne über Merkmale in der Maske. Eine Verstärkung der Dosisspanne (Flankensteilheit) ist im US-Patent 8,473,875, „Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography“, offenbart, das Eigentum des Anmelders der vorliegenden Anmeldung ist und hiermit durch Bezugnahme aufgenommen wird.
[0079] In einigen Ausführungsformen wird eine vorbestimmte künstliche Hintergrunddosis, wie etwa im Bereich von 20 % bis 30 %, definiert und überall angewendet. Es wird eine Ziel-Rückstreumindestmenge oder ein vorbestimmter Schwellenwert, beispielsweise 30 %, definiert. In einigen Ausführungsformen, in denen normalerweise nicht genügend Rückstreuung vorhanden ist, dass PEC die Dosis verringern kann, wird eine Umgebungsdosis durch Hinzufügen einer künstlichen Hintergrunddosis erhöht, um die Rückstreumindestmenge zu erreichen. In einigen Ausführungsformen kann die zusätzliche Rückstreuung, die durch die künstliche Hintergrunddosis beigesteuert wird, in der Menge der hinzuzufügenden künstlichen Hintergrunddosis berücksichtigt werden oder nicht, indem die zusätzliche
Rückstreuung, wie sie durch die künstliche Hintergrunddosis beigetragen wird, im
Voraus berechnet wird. Die hier beschriebenen Ausführungsformen sind Beispiele und andere Abwandlungen für ein Hinzufügen einer künstlichen Hintergrunddosis sind möglich. In einigen Ausführungsformen hat die Rückstreumindestmenge eine eingebaute Spanne, um die zusätzliche Rückstreuung zu berücksichtigen, die von der künstlichen Hintergrunddosis ausgeht. Da sich Rückstreumengen mathematisch linear verhalten, kann die durch die künstliche Hintergrunddosis hinzugefügte Rückstreumenge unabhängig von den Dosen der Schüsse in einem bestimmten Areal berechnet werden. In einigen Ausführungsformen wird der gesamte Maskenbereich in Teilbereiche mit einer gewissen Größe oder gewissen Größen unterteilt, und die künstlichen Hintergrunddosis wird für jeden Teilbereich bestimmt, wobei jeder der Teilbereiche eine Menge der künstlichen Hintergrunddosis innerhalb des Teilbereichs aufweist, wobei jedoch verschiedene Teilbereiche unterschiedliche Mengen der künstlichen Hintergrunddosis ausweisen können. Typischerweise wird PEC auf einem groben Gitter berechnet, wie etwa einem 50-nm-Gitter oder einem 300-nm-Gitter. Die PEC-Anpassung wird für jedes Gitter oder jeden Teilbereich berechnet. In einigen Implementierungen wird die PEC-Anpassung für jeden Ort innerhalb eines Teilbereichs basierend auf den berechneten PEC-Anpassungen für benachbarte Teilbereiche interpoliert. In einigen Ausführungsformen erfolgt die Berechnung der Menge der künstlichen Hintergrunddosis auf demselben Gitter wie das Gitter, das für die PEC verwendet wird. Bei einer solchen Implementierung wird die empfangene Rückstreumenge in jedem PEC-Gitter mit der spezifizierten ZielRückstreumindestmenge verglichen, um die Menge der künstlichen Hintergrunddosis zu bestimmen, die an den Bereich bereitgestellt werden soll, den das PEC-Gitter abdeckt. In einigen Ausführungsformen wird die künstliche Hintergrunddosis für jeden Ort innerhalb eines einzelnen Teilbereichs über den Teilbereich hinweg interpoliert, wie etwa dass die Interpolation auf den künstlichen Hintergrunddosen für benachbarte Teilbereiche basiert. Der darauf folgende PEC Schritt verringert die Dosis des betreffenden Schusses/Pixels, wodurch sich die Schreibzeit für diesen Schuss/Pixel verringert. Wenn dies für alle Schüsse/Pixel auf der Maske oder in einem Abschnitt der Maske erfolgt, verringert sich die Dosis und damit die Schreibzeit für diesen Abschnitt
der Maske. Der darauf folgende PEC-Schritt berücksichtigt das Hinzufügen der
künstlichen Hintergrunddosis und jede zusätzliche Rückstreuung, die durch eine künstliche Hintergrunddosis beigetragen wird. PEC passt die Schussdosis aller Schüsse auf die Maske an, um alle CDs derart anzupassen, dass sie das Ziel genau so treffen, wie PEC immer für natürliche Rückstreuung gearbeitet hat. Die Dosisspannen der angepassten Schüsse sind schlechter als vor dem Hinzufügen der künstlichen Hintergrunddosis. Aber der vom Benutzer eingestellte Parameter für Mindestrückstreuung ermöglicht, dass die Dosisspannen innerhalb akzeptabler Dosisspannen bleiben, wie sie für einen bestimmten Maskenprozess bestimmt werden. [0080] Bei herkömmlichen VSB-Maschinen erfordert das Abgeben einer Dosis jeglicher Art (auch wenn die Dosis gering ist) einen separaten Schuss und eine Austastzeit, welche die Schüsse trennt. Da die Austastzeit typischerweise etwa genau so lang ist wie die Belichtungszeit bei Normaldosis, kann bei Normaldosis in Betracht gezogen werden, dass eine angemessene Näherung erster Ordnung einer Schusszeit 2 Zeiteinheiten betragen könnte. Bei dieser Näherung würde ein Schuss von 10 % Dosis 1,1 Zeiteinheiten dauern. Da die Schreibzeit bei der Optimierung sowohl der Kosten als auch der Ausbeute von Masken von grundlegender Bedeutung ist und da das Schreiben komplexer hochmoderner Masken bereits zu lange dauert, ist es kommerziell nicht machbar, eine künstliche Hintergrunddosis überall dort hinzuzufügen, wo sonst keine Schüsse gemacht wurden. Da jedoch die Maskenstufe, die typischerweise mit variabler Geschwindigkeit abläuft, bei einem VSBMaskenschreiber schwer ins Gewicht fällt, kann die Maskenstufe die Geschwindigkeit nur allmählich ändern, daher kann eine Reduzierung der Spitzendosisdichte dazu beitragen, die Schreibzeit für VSB-Maschinen zu reduzieren.
[0081] Insbesondere für VSB-Maschinen beinhalten einige Ausführungsformen der vorliegenden Offenbarung das absichtliche Austreten von etwas eBeam-Energie, wodurch eine künstliche Hintergrunddosis während der Austastzeit erzeugt wird. Der genaue Ort, an dem der ausgetretene eBeam abgegeben wird, muss nicht genau gesteuert werden. Da die Rückstreuung ein großräumiger Effekt im Radiusbereich von 10 um ist, ist eine Steuerung der Position auf nm-Niveau nicht wichtig. Die Austrittsmenge und die Austrittsdauer während der Austastzeit können genau
berechnet werden. Eine solche Berechnung kann den Weg berechnen, den der eBeam
zurücklegt, und ein Abgeben des Ausgetretenen in die Nähe (d.h. innerhalb der kombinierten Vorwärtsunschärfe von) jeder Musterflanke, die eine präzise Steuerung erfordert, vermeiden.
[0082] Ein Oberflächenbeschreiben für die fortschrittlichsten Technologiebereiche beinhaltet typischerweise mehrere Durchgänge des Schreibens mit Strahlen geladener Teilchen, ein Prozess mit der Bezeichnung Multi-Pass-Belichtung, wodurch die gegebene Form auf dem Retikel geschrieben und überschrieben wird. Typischerweise werden zwei bis vier Durchgänge zum Beschreiben eines Retikels verwendet, um Präzisionsfehler bei dem Schreiber mit Strahlen geladener Teilchen auszumitteln, was die Erstellung von genaueren Fotomasken ermöglicht. Typischerweise ist auch die Liste der Schüsse, einschließlich der Dosierungen, für jeden Durchgang die gleiche. In einer Abwandlung der Multi-Pass-Belichtung können die Listen von Schüssen unter Belichtungsdurchgängen variieren, aber die Vereinigung der Schüsse in jedem Belichtungsdurchgang deckt die gleiche Fläche ab. Multi-Pass-Schreiben kann ein Überhitzen des die Oberfläche beschichtenden Lacks reduzieren. Multi-Pass-Schreiben mittelt auch Zufallsfehler des Schreibers mit Strahlen geladener Teilchen aus. Ein Multi-Pass-Schreiben unter Verwendung verschiedener Schusslisten für verschiedene Belichtungsdurchgänge kann auch die Auswirkungen bestimmter Systemfehler in dem Schreibprozess reduzieren. In einigen Ausführungsformen gibt beim VSB- und MultiPass-Schreiben nur ein oder einige der Schreibdurchläufe die künstliche Hintergrunddosis ab. Anders ausgedrückt umfasst in einigen Ausführungsformen der ursprüngliche Satz von Belichtungsinformationen Informationen für mehrere Belichtungsdurchgänge, und wobei die künstliche Hintergrunddosis nur in einem Belichtungsdurchgang hinzugefügt wird. Da Präzision für eine künstliche Hintergrunddosis nicht wichtig ist, ist dies ausreichend, und es spart Schreibzeit, da nicht alle Durchgänge betroffen sind. Die anderen Durchgänge weisen eine reduzierte Spitzendosis eines Pixels oder eine reduzierte Spitzendosisdichte eines Bereichs ohne die zusätzliche Schreibzeit, die für die künstliche Hintergrunddosis erforderlich ist, auf, wie etwa in der in FIG. 10A dargestellten Ausführungsform.
[0083] In einigen Ausführungsformen wird ein relativ isoliertes Muster absichtlich von
einer künstlichen Hintergrunddosis umgeben, was zu einer erhöhten Rückstreuung
führt. PEC, die Korrektur für Rückstreuung, wird durch Reduzieren der Pixel- oder Schussdosierung erreicht - weniger Reduzierung bei geringer Belichtungsdichte und mehr Reduzierung bei hoher Belichtungsdichte. Ein Verringern der Dosis verschlechtert jedoch die Dosisspanne.
[0084] Eine akzeptable Dosisspanne kann durch die Größe der durch die Dosisreduzierung verursachten Größenschwankung bestimmt werden. In einer Ausführungsform kann ein isoliertes Muster, das mit normaler Dosis belichtet wird, unter Verwendung eines größeren Musters und einer reduzierten Dosis auf ein akzeptables Niveau geschossen werden, ohne dass eine künstliche Hintergrunddosis hinzugefügt wird.
[0085] Beim Belichten beispielsweise eines sich wiederholenden Musters auf einer Oberfläche unter Verwendung von Lithografie mit Strahlen geladener Teilchen wird die Größe jedes Musterexemplars, gemessen an der fertig hergestellten Oberfläche, aufgrund von Herstellungsschwankungen geringfügig unterschiedlich sein. Die Größe der Größenschwankung ist ein wesentliches Optimierungskriterium bei der Herstellung. Zu viel Schwankung, insbesondere für die Kleinstmerkmale, kann dazu führen, dass diese Form überhaupt nicht gedruckt wird, wodurch eine Fehlfunktion der resultierenden Schaltung verursacht wird. Herstellungsschwankungen, wie etwa Linienflankenrauigkeit und Eckenverrundung, werden auch in den tatsächlichen Mustern auf einer Oberfläche vorhanden sein. Darüber hinaus führt mehr Größenschwankung zu mehr Schwankung bei der Schaltungsleistung, was höhere Design-Spannen erforderlich macht, so dass es immer schwieriger wird, schnellere integrierte Schaltungen mit geringerer Leistungsaufnahme zu entwerfen. Diese Schwankung wird als Schwankung der kritischen Abmessungen (CD) bezeichnet. Eine geringe CD-Schwankung, insbesondere eine gleichmäßig niedrige CD-Schwankung über alle Formen über die Maske hinweg, ist wünschenswert und deutet darauf hin, dass Herstellungsabweichungen relativ kleine Größenschwankungen auf der fertig hergestellten Oberfläche erzeugen. Im kleineren Maßstab können die Effekte einer hohen CD-Schwankung als Linienflankenrauigkeit (LER) beobachtet werden. LER wird von jedem Teil einer Linienflanke verursacht, der etwas anders hergestellt wird, was
bei einer Linie, die dazu bestimmt ist, eine gerade Flanke aufzuweisen, zu einer
gewissen Welligkeit führt. CD-Schwankung ist umgekehrt proportional zur Steilheit der Dosierungskurve am Lackschwellenwert, die Flankensteilheit genannt wird. Daher sind die Flankensteilheit und ihr Kehrwert, die Dosisspanne, ein kritischer Optimierungsfaktor für das Teilchenstrahlbeschreiben von Oberflächen. In dieser Offenbarung sind Flankensteilheit und Dosisspanne Begriffe, die austauschbar verwendet werden.
[0086] In einigen Ausführungsformen beinhalten Verfahren ein Vorschlagen einer angemessenen Menge an künstlicher Hintergrunddosis durch die Verwendung einer Flankensteilheit, die einem Zielniveau entspricht; das heißt, einer “genügend gute“ Flankensteilheit. Da Widerstandsfähigkeit gegenüber Herstellungsschwankung ein statistischer Begriff ist, ist die Bedeutung einer “genügend gute“ Flankensteilheit, kein exakter Ausdruck einer strengen Ungleichheit. In einigen Ausführungsformen der vorliegenden Verfahren wird die Menge an künstlicher Hintergrunddosis bestimmt, bei denen die Flankensteilheiten über einem “genügend gute“ Niveau liegen. Zum Beispiel kann ein bestimmter Maskenherstellungsprozess eingestellt werden, zuverlässige Herstellungsergebnisse für 100 nm x 2 um Zeilen zu liefern, die in einem Bereich mit 75 % Belichtungsdichte geschrieben werden, wobei eine größere Menge an Rückstreuung erzeugt wird, also die geringste Menge an Post-PEC-Schuss/Pixeldosis und daher eine relativ flache Flankensteilheit aufweist. In einigen Ausführungsformen wird die Flankensteilheit dieser Post-PEC-Linien als „gut genug“ bezeichnet. Wenngleich es andere Formen mit schlechterer Flankensteilheit geben wird, wie etwa ein 40 nm x 200 nm Raum, der in einem Bereich mit 75 % Dichte beschrieben wird, kann der Maskenherstellungsprozess bestimmen, dass die Flankensteilheit dort nicht „gut genug“ ist, jedoch diese Formen als Kompromiss insgesamt in Bezug auf Wirtschaftlichkeit, Zeitaufwand und Herstellungszuverlässigkeit dennoch herstellbar sind. Für die vorliegende Ausführungsform besteht die Funktion der “genügend gute“ Flankensteilheit darin, anzugeben, dass sogar besser als „gut genug“ zu sein, im Vergleich zu dem inkrementellen Nutzen der Widerstandsfähigkeit gegenüber Herstellungsschwankungen zu viel Zeit in Anspruch nimmt, diese Dosis abzugeben.
Abnehmende Flankensteilheit auf ein niedrigeres als optimales Niveau - das heißt
unter ein Zielniveau - ist kontraintuitiv, da die herkömmliche Lehre auf ein Maximieren der Flankensteilheit abgerichtet ist.
[0087] In einigen Ausführungsformen werden die Prä-PEC-Maximaldosis und die künstlichen Hintergrunddosismengen automatisch berechnet. In einer Ausführungsform können die Prä-PEC-Maximaldosis und die künstliche Hintergrunddosis berechnet werden, um ein akzeptables Kontrastniveau (eine Kontrastreduzierung) zu erreichen. In einer anderen Ausführungsform können die Prä-PEC-Maximaldosis und die künstliche Hintergrunddosis berechnet werden, um eine Dosisspanne unter einer ZielMindestdosisspanne zu erreichen. Die akzeptable Mindestdosisspanne kann durch Berechnen einer Dosisspanne an einer vorbestimmten Flankenposition eines vorbestimmten Musters in einem vorbestimmten Rückstreubereich bestimmt werden. [0088] In einigen Ausführungsformen wird eine künstliche Hintergrunddosis vor der PEC hinzugefügt, um die Schreibzeit zu reduzieren, indem die Dosierung während der PEC verringert wird, wo die Flankensteilheit mit der verringerten Dosis unter einem Zielniveau liegen kann. In einigen Ausführungsformen kann ein Ziel- oder “genügend gute“ Niveau berechnet werden, indem die Flankensteilheit eines Merkmals simuliert wird, von der bekannt ist, dass sie im Herstellungsprozess ausreichend gut funktioniert, um für Produktionszwecke verwendet zu werden. Zum Beispiel wird zur Herstellung einer hochmodernen Fotomaske ein sich wiederholendes Muster aus 100 nm breiten Drähten, die durch 100 nm breite Abstände getrennt sind, stabil gemacht, wenn es vor der PEC mit einer Normaldosis belichtet wird. Eine Simulation der Flankensteilheit für eine 100 nm breite Linie im Kontext eines 100 nm-Linien- und Abstandsmusters kann als eine Dosisspanne angesehen werden, die „gut genug“ ist. Zum Zwecke der Berechnung einer künstlichen Hintergrunddosis weist ein 100 nmLinien- und -Abstandsmuster eine Belichtungsdichte von 50 % im umliegenden Bereich auf. Als Mindestrückstreumenge wird eine natürliche Rückstreuung berechnet, die sich aus der Belichtungsdichte von 50 % ergibt. Die Summe der bereits in einem Bereich vorhandenen natürlichen Rückstreuung plus einer Menge der künstlichen Hintergrunddosis wird gleichmäßig verteilt, um zu einer Gesamthintergrundbelichtung bis zur Mindestrückstreuung beizutragen, die in der gesamten Maske gleichförmig ist.
Obwohl eine Belichtungsdichte von 50 % möglicherweise nicht die schlechteste
akzeptable Post-PEC-Dosisspanne erzeugt, kann sie zu einem guten praktischen Ziel deklariert werden, das zum Zwecke der Berechnung der Menge der akzeptablen Mindestdosis erreicht werden kann, aus der die Menge der künstlichen Hintergrunddosis berechnet wird.
[0089] FIG. 16 ist ein konzeptionelles Flussdiagramm 1600 zum Vorbereiten einer Oberfläche, wie etwa eines Retikels oder einer anderen Oberfläche, unter Verwendung von Lithografie mit Strahlen geladener Teilchen, wie in der Technik bekannt. In einem ersten Schritt 1602 wird ein physisches Design, wie etwa ein physisches Design einer integrierten Schaltung, erstellt. Dies kann ein Bestimmen der Logik-Gates, Transistoren, Metallschichten und anderer Elemente umfassen, die in einem physischen Design wie dem physischen Design einer integrierten Schaltung vorgefunden werden müssen. Als nächstes wird in einem Schritt 1604 für das physische Design von Schritt 1602 oder auf einem Teil des auf dem Wafer erwünschten physischen Designs eine optische Proximity-Korrektur (OPC) zum Erstellen eines Masken-Designs 1006 bestimmt. OPC berechnet die Maskenformen, die erforderlich sind, um die gewünschten Wafer-Formen über Herstellungsschwankungen hinweg am besten herzustellen. Zu Herstellung des Masken-Designs 1106 ändert OPC das physische Design, um Verzerrungen zu kompensieren, die durch Effekte wie optische Beugung und die optische Wechselwirkung von Merkmalen mit benachbarten Merkmalen verursacht werden.
[0090] Gegebenenfalls kann eine Maskenprozesskorrektur (MPC) an dem Masken-Design 1606 durchgeführt werden. MPC modifiziert das auf das Retikel zu schreibende Muster, um nichtlineare Effekte zu kompensieren, wie etwa Effekte im Zusammenhang mit Mustern kleiner als etwa 100 nm bei herkömmlichen optischen Lithografiemasken. MPC kann auch verwendet werden, um nichtlineare Effekte zu kompensieren, die EUVMasken beeinflussen. In einigen Ausführungsformen der vorliegenden Erfindung kann MPC als Teil eines Frakturierungs- oder anderen Vorgangs zur Maskendatenvorbereitung (MDP) durchgeführt werden.
[0091] In einem Schritt 1608 kann ein Vorgang zur Maskendatenvorbereitung (MDP) einen Frakturierungsvorgang, einen Schussplatzierungsvorgang, einen
Dosiszuweisungsvorgang oder eine Schusssequenzoptimierung beinhalten. In einigen
Ausführungsformen, die für das Mehrstrahl-Maskenschreiben vorgesehen sind, kann der MDP-Schritt 1608 das Erzeugen einer Vielzahl von Mehrstrahl-Schüssen beinhalten, wobei jeder Mehrstrahl-Schuss ein oder mehrere Beamlets umfasst, wobei Dosierungsbereiche bestimmt werden und den Beamlets in jedem Dosierungsbereich Dosierungen zugewiesen werden. In einigen Ausführungsformen können BeamletDosierungen innerhalb eines Dosierungsbereichs variieren.
[0092] In Schritt 1618 wird eine Proximity-Effekt-Korrektur(PEC)-Verfeinerung durchgeführt, in der Dosierungen angepasst werden, um Rückstreu-, Schleier- und Beladungseffekte zu berücksichtigen, wodurch Belichtungsinformationen in Schritt 1620 mit angepassten Dosierungen erstellt werden. Die angepassten Dosierungen in den Belichtungsinformationen von Schritt 1620 werden verwendet, um eine Oberfläche in einem Maskenschreibschritt 1622 zu erzeugen, der einen Schreiber mit Strahlen geladener Teilchen wie etwa ein Elektronenstrahl-Schreibsystem verwendet. Abhängig von der Art des verwendeten Schreibers mit Strahlen geladener Teilchen kann die PECVerfeinerung 1618 durch den Schreiber mit Strahlen geladener Teilchen durchgeführt werden. Der Maskenschreibschritt 1622 kann einen einzelnen Belichtungsdurchgang oder mehrere Belichtungsdurchgänge umfassen. Das Elektronenstrahl-Schreibsystem projiziert einen Elektronenstrahl durch eine Schablone oder Aperturplatte auf eine Oberfläche, um ein Maskenbild 1624 zu bilden, das Muster auf der Oberfläche umfasst. Die fertiggestellte Oberfläche, wie etwa ein Retikel, kann dann in einer optischen Lithografiemaschine verwendet werden, was in einem Schritt 1626 gezeigt ist.
[0093] FIG. 17 veranschaulicht einen Ablauf 1700, der ein Verfahren zum Belichten eines Musters in einem Bereich auf einer Oberfläche darstellt, in dem eine dynamische Maximaldosis und eine künstliche Hintergrunddosis berechnet werden und gemäß einigen Ausführungsformen aufgebracht werden können. In Schritt 1706 wird ein ursprünglicher Satz von Belichtungsinformationen für ein Muster eingegeben. In einigen Ausführungsformen ist der ursprüngliche Satz von Belichtungsinformationen eine Sammlung von Pixeln und deren Dosierungen. In einer anderen Ausführungsform ist der ursprüngliche Satz von Belichtungsinformationen ein Satz von Formen, der möglicherweise krummlinige oder vollständig nicht-polygonale Beschreibungen (wie
Kreise oder Splines) enthält. In noch einer anderen Ausführungsform ist der
ursprüngliche Satz von Belichtungsinformationen ein Satz von Schüssen mit zugewiesener oder implizierter Prä-PEC-Dosis (z.B. VSB) oder ein Satz von Formen mit zugewiesener oder implizierter Prä-PEC-Dosis (z.B. Mehrstrahl-Schreiben). Im Allgemeinen ist die Eingabe in Schritt 1706 alles, was Formen oder Dosen beschreibt. [0094] In Schritt 1708 wird eine Post-PEC-Maximaldosis eingegeben, die als Zieldosis oder gewünschte Maximaldosis nach der PEC dient. In einigen Ausführungsformen beinhaltet Schritt 1708 ein Eingeben einer Ziel-Post-Proximity-Korrektur-Maximaldosis, wobei die Ziel-Post-PEC-Maximaldosis auf einer maximalen Schreibzeit basiert. In einigen Ausführungsformen wird ebenfalls ein Schwellenwert für die künstliche Hintergrunddosis eingegeben. In anderen Ausführungsformen wird eine Zieldosisspanne eingegeben und verwendet, um die Ziel-Post-PEC-Maximaldosis zu bestimmen. Das heißt, die Zieldosisspanne kann als ein Faktor beim Wählen der Post-PEC-Maximaldosis verwendet werden, wie etwa um eine Dosisspanne unterhalb der Zieldosisspanne zu erreichen. In solchen Ausführungsform kann der Ablauf 1700 einen Schritt zur Berechnung einer Dosisspanne für das zu belichtende Muster enthalten, wie etwa ein Berechnen einer Zielmindestdosisspanne an einer vorbestimmten Flankenstelle eines vorbestimmten Musters in einem vorbestimmten Rückstreubereich, in dem bestimmt wurde, dass die Pre-PEC-Maximaldosis die Dosisspanne des zu belichtenden Musters unter der Zielmindestdosisspanne erreicht. Die Post-PEC-Maximaldosis, der Schwellenwert der künstlichen Hintergrunddosis und/oder die Zieldosisspanne können beispielsweise von einem Benutzer eingegeben werden. In noch einer anderen Ausführungsform kann die Post-PEC-Maximaldosis basierend auf einer gewünschten Schreibzeit gewählt werden, wie etwa um Schreibzeit im Vergleich zur normalen Dosierung um einen bestimmten Betrag zu reduzieren, oder um unter einem Schwellenwert zu bleiben, der durch eine maximale Maschinenschreibzeit begrenzt wird. In einer anderen Ausführungsform kann die Post-PEC-Maximaldosis auf einer Merkmalsgröße basieren, wie etwa dem kleinsten Merkmal. In einer anderen Ausführungsform kann die Post-PEC-Maximaldosis auf anderen Maßen der Bedruckbarkeit oder auf einem anderen Verfahren basieren.
[0095] In Schritt 1710 wird eine lokale Musterdichte basierend auf dem ursprünglichen
Satz von Belichtungsinformationen bestimmt (d.h. berechnet). In einer
Ausführungsform beinhaltet die Berechnung der lokalen Musterdichte in Schritt 1710 eine anfängliche Bestimmung für PEC, die eine anfängliche Karte der Rückstreuung erstellt. PEC ist ein iterativer Prozess, bei dem eine Dosis angepasst wird, um die gewünschte Größe im Vorhandensein von Rückstreuung zu drucken. Die anfängliche Karte der Rückstreuung aus der anfänglichen PEC-Bestimmung ist ein guter relativer Hinweis auf die lokale Musterdichte. Das heißt, die lokale Musterdichte kann durch Berechnen der Rückstreumenge berechnet werden. In einigen Ausführungsformen werden in Schritt 1710 Bereiche identifiziert, in denen die Rückstreuung unter einem vorbestimmten Schwellenwert liegt.
[0096] Eine Prä-PEC-Maximaldosis für die lokale Musterdichte wird dynamisch in Schritt 1712 (d.h. berechnet) basierend auf der Ziel-Post-PEC-Maximaldosis von Schritt 1708 bestimmt. Das heißt, die Prä-PEC-Maximaldosis ist dynamisch, da sie sich gemäß dem bestimmten Satz von Belichtungsinformationen (lokale Musterdichte), Zieldosisspanne, gewünschter maximaler Schreibzeit und/oder anderen Zielparametern ändern kann. In einigen Ausführungsformen wird die Prä-PEC-Maximaldosis für verschiedene Bereichsmusterdichten vorberechnet und die Prä-PEC-Maximaldosis wird dementsprechend für die lokale Musterdichte geliefert. In einigen Ausführungsformen wird die Prä-PEC-Maximaldosis, die für die lokale Musterdichte berechnet wird, in einen Linearitätskorrekturalgorithmus eingegeben.
[0097] In Schritt 1716 werden die ursprünglichen Belichtungsinformationen modifiziert, um die Prä-PEC-Maximaldosis von Schritt 1712 darin aufzunehmen, um einen modifizierten Satz von Belichtungsinformationen zu erstellen. In einigen Ausführungsformen wird der modifizierte Satz von Belichtungsinformationen durch PEC verfeinert, was zu angepassten Dosierungen führt, die weniger als die Post-PECMaximaldosis von Schritt 1708 sind (d.h. diese nicht übersteigen). In einigen Ausführungsformen kann der Ablauf 1700 auch ein Belichten der Oberfläche mit dem modifizierten Satz von Belichtungsinformationen beinhalten.
[0098] In einigen Ausführungsformen beinhalten die Berechnungen ein Bestimmen einer künstlichen Hintergrunddosis für den Bereich in Schritt 1714, wobei die künstliche Hintergrunddosis zusätzliche Belichtungsinformationen umfasst. In einigen
Ausführungsformen wird Schritt 1714 in einem Bereich mit einer lokalen Musterdichte
durchgeführt, in dem eine Rückstreuung unterhalb des vorbestimmten Schwellenwerts in Schritt 1710 identifiziert wurde. In einigen Ausführungsformen beinhaltet der Ablauf 1700 eine Rückstreuung für den Bereich basierend auf dem ursprünglichen Satz von Belichtungsinformationen, und Schritt 1714 fügt die künstliche Hintergrunddosis nur dann hinzu, wenn die berechnete Rückstreuung kleiner als der (d.h. unter dem) vorbestimmte(n) Schwellenwert liegt, d. h. die künstliche Hintergrunddosis ist die Differenz zwischen dem vorbestimmten Schwellenwert und der berechneten Rückstreuung. In einigen Ausführungsformen wird in Schritt 1714 die Dosisspanne oder die Flankensteilheit für ein gewünschtes Muster in dem Bereich berechnet, und die künstliche Hintergrunddosis wird bestimmt, um eine(n) “genügend gute“ Flankensteilheit oder Kontrast für das gewünschte Muster in dem Bereich beizubehalten. In einigen Ausführungsformen fügt Schritt 1714 die künstliche Hintergrunddosis hinzu, um eine „genügend gute“ Flankensteilheit für das Muster in dem Bereich beizubehalten, der ein bestimmtes Kriterium erfüllt, wie etwa eine Mindestobjektgröße für eine „genügend gute“ Flankensteilheit. Zum Beispiel können Formen kleinerer Größe für SRAFs aus der „genügend guten“ Flankensteilheit ausgenommen werden. Als ein weiteres Beispiel kann es sein, dass Formen kleinerer Größe für SRAFs eine andere „genügend gute“ Flankensteilheit bei der Bestimmung der hinzuzufügenden künstlichen Hintergrunddosis erfüllen müssen. In einigen Ausführungsformen können diese Berechnungen in einer Erstellung einer künstlichen Hintergrunddosis führen, die zusätzliche Muster mit untergrenzwertiger Belichtung umfassen, So dass die zusätzlichen Muster nicht gedruckt werden. Das oder die zusätzlichen Muster werden mit dem modifizierten Satz von Belichtungsinformationen kombiniert. In einigen Ausführungsformen wird die künstliche Hintergrunddosis nur über einen vorbestimmten Abstand von einer Flanke des Musters auf die Oberfläche aufgebracht. In einigen Ausführungsformen umfasst der ursprüngliche Satz von Belichtungsinformationen Informationen für mehrere Belichtungsdurchgänge, und die künstliche Hintergrunddosis wird nur in einem Belichtungsdurchgang hinzugefügt. [0099] In einigen Ausführungsformen erfolgen die Berechnung der Prä-PECMaximaldosis und die Erstellung zusätzlicher Muster als Teil eines MDP-Schritts (z.B. Schritt 1608 von Ablauf 1600, FIG. 16), wo die zusätzlichen Muster das gewünschte
44 / 70
Muster in dem Masken-Design geometrisch überlappen (z.B. Schritt 1606 von Ablauf 1600, FIG. 16). Ein modifizierter Satz von Belichtungsinformationen in Schritt 1716, der den ursprünglichen Satz von Belichtungsinformationen enthält, der mit der PräPEC-Maximaldosis angepasst oder mit den zusätzlichen Belichtungsinformationen kombiniert werden kann (d.h. zusätzliche Muster), kann durch einen Proximity-EffektKorrektur(PEC)-Verfeinerungsschritt (z.B. Schritt 1618 von Ablauf 1600, FIG. 16) gelesen werden, wodurch modifizierte Belichtungsinformationen in Schritt 1716 erzeugt werden, welche die künstliche Hintergrunddosis enthalten und kann auch eine Rückstreuung enthalten können, die sich aus künstlicher Hintergrunddosis ergibt. In einigen Ausführungsformen reduziert der modifizierten Satz von Belichtungsinformationen eine Gesamtschreibzeit im Vergleich zu dem ursprünglichen Satz von Belichtungsinformationen. In einigen Ausführungsformen wird der modifizierte Satz von Belichtungsinformationen durch PEC verfeinert, was zu angepassten Dosierungen des modifizierten Satzes von Belichtungsinformationen führt. Die Belichtungsinformationen werden dann verwendet, um eine Oberfläche mit dem modifizierten Satz von Belichtungsinformationen zu belichten. In einigen Ausführungsformen wird eine Pixel- oder Schussdosis modifiziert, um die künstliche Hintergrunddosis hinzuzufügen. In einigen Ausführungsformen geben Pixel oder Schüsse eine künstliche Hintergrunddosis in ansonsten leeren Bereichen ab (Pixel, die bei Dosis Null beginnen), wobei die Dosismenge relativ ähnlich für Pixel beispielsweise in einer 3 x 3 oder größeren Anzahl von benachbarten Pixeln ist.
[00100] In einigen Ausführungsformen werden einige oder alle Schritte 1710, 1712, 1714 und 1716 von FIG. 17 gefolgt von den Schritten 1618 und 1620 von FIG. 16 gleichzeitig mit dem Maskenschreibschritt 1622 von Ablauf 1600, FIG. 16, mit InlineVerarbeitung durchgeführt, um eine Datenmanipulation durchzuführen, während die Maske belichtet wird. Das heißt, in einigen Ausführungsformen wird die Maskenbelichtung inline mit einem oder mehreren Schritten durchgeführt, ausgewählt aus der Gruppe bestehend aus Bestimmen (d.h. Berechnen) der lokalen (d.h. Flächen)Musterdichte, Bestimmen einer Prä-PEC-Maximaldosis für den Bereich, Berechnen der Rückstreuung, Bestimmen des Bereichs, in dem die berechnete Rückstreuung unter
einem vorbestimmten Schwellenwert liegt, Bestimmen der künstlichen
Hintergrunddosis und Erstellen des modifizierten Satzes von Belichtungsinformationen. In anderen Ausführungsformen können Berechnungen während des Belichtens einer anderen Oberfläche in einer Pipeline-Weise durchgeführt werden. Bei einem PipelineSystem wird die nächste auf der Maschine zu schreibende Oberfläche berechnet, während die vorherige Oberfläche auf der Maschine geschrieben wird. Ein PipelineSystem ist wirksam bei der Verbesserung des Durchsatzes vieler Oberflächen, wenn die Oberflächen ähnliche Schreibzeiten und Rechenzeiten aufweisen. Ein Inline-(Echtzeit)System ist wirksam bei der Verbesserung des Durchsatzes sowie der Durchlaufzeiten jeder Oberfläche.
[00101] Die vorliegenden Verfahren können offline, als Pipeline oder inline verwendet werden. Es ist am wünschenswertesten, schnell genug zu sein, um inline verarbeiten zu können. Eine Inline-Verarbeitung ist höchst wünschenswert, insbesondere dann, wenn die Gesamtzahl der Pixel, die geschrieben werden muss, sehr groß ist. Zum Beispiel sind für die Herstellung von Halbleitervorrichtungen für das Mehrstrahl-eBeam-Schreiben von Masken über 500 T-Bytes an Daten erforderlich, um alle Pixeldaten zu speichern. Da Mehrstrahl-eBeam-Maschinen die Pixel extrem schnell schreiben müssen, ist das Speichern solcher Daten auf Festplatte oder sogar SolidState-Disk aus Kostensicht möglicherweise nicht praktikabel. Bei der InlineVerarbeitung müssen die Daten im Gegensatz zur Offline- oder Pipeline-Verarbeitung nicht gespeichert werden, da die Maschine die Daten zum Schreiben der Pixel kurz nach der Datenberechnung aufbraucht. Dies ist ein weiterer Grund, warum eine InlineVerarbeitung, welche durch die vorliegenden Verfahren ermöglicht wird, wertvoll ist. Wie oben erwähnt, kann dieselbe Methodik zum Anpassen von Pixeldosen zur Verbesserung der Dosisspanne (d.h. der Flankensteilheit) verwendet werden.
[00102] Die Maskendatenaufbereitung, die Berechnung der Maximaldosis, die Berechnung der künstlichen Hintergrunddosis und die Proximity-Effekt-Korrektur, die in dieser Offenbarung beschrieben werden, können unter Verwendung von Universalcomputern unter Verwendung von zentralen Verarbeitungseinheiten (CPU) mit geeigneter Computersoftware als Rechenvorrichtungen implementiert werden. Aufgrund des hohen Rechenaufwandes können auch mehrere Rechner bzw.
Prozessorkerne einer CPU parallel genutzt werden. In einer Ausführungsform können
die Berechnungen in mehrere zweidimensionale geometrische Bereiche für einen oder mehrere rechenintensive Schritte im Ablauf unterteilt werden, um eine parallele Verarbeitung zu unterstützen. In einer anderen Ausführungsform kann eine spezielle Hardware-Vorrichtung, die entweder einzeln oder zu mehreren verwendet wird, verwendet werden, um die Berechnungen eines oder mehrerer Schritte mit höherer Geschwindigkeit als bei Verwendung von Universalcomputern oder Prozessorkernen durchzuführen. Spezial-Computerhardware-Vorrichtungen oder -Prozessoren können beispielsweise feldprogrammierbare Gate-Arrays (FPGA), anwendungsspezifische integrierte Schaltungen (ASIC) oder digitale Signalprozessor(DSP)-Chips beinhalten. In einer Ausführungsform kann die Spezial-Hardware-Vorrichtung eine Grafikverarbeitungseinheit (GPU) sein. In einer anderen Ausführungsform können die Optimierungs- und Berechnungsprozesse, die in dieser Offenbarung beschrieben sind, iterative Prozesse zum Überarbeiten und Neuberechnen möglicher Lösungen beinhalten, um die Gesamtschreibzeit des Strahls geladener Teilchen oder einen anderen Parameter zu minimieren. In noch einer anderen Ausführungsform können die Prozesse deterministische Berechnungen ohne Iteration sein.
[00103] FIG. 18 veranschaulicht ein Beispiel einer Computerhardware-Vorrichtung 1800, die verwendet werden kann, um die in dieser Offenbarung beschriebenen Berechnungen durchzuführen. Die Computerhardware-Vorrichtung 1800 umfasst eine zentrale Verarbeitungseinheit (CPU) 1802 mit angeschlossenem Hauptspeicher 1804. Die CPU kann beispielsweise acht Verarbeitungskerne umfassen, wodurch die Leistung jeglicher Teile der Computersoftware verbessert wird, die multithreaded sind. Die Größe des Hauptspeichers 1804 kann beispielsweise 64 G-Byte betragen. Die CPU 1802 ist mit einem Peripheral Component Interconnect Express(PCle)-Bus 1820 verbunden. Eine Grafikverarbeitungseinheit (GPU) 1814 ist ebenfalls mit dem PCle-Bus verbunden. In der Computerhardware-Vorrichtung 1800 kann die GPU 1814 mit einer Grafikausgabevorrichtung wie etwa einem Videomonitor verbunden sein oder nicht. Wenn die GPU 1814 nicht mit einem Grafikausgabegerät verbunden ist, kann sie lediglich als Hochgeschwindigkeits-Parallelrechenmaschine verwendet werden. Die Computersoftware kann durch die Verwendung der GPU für einen Teil der
Berechnungen im Vergleich zur Verwendung der CPU 1802 für alle Berechnungen eine
wesentlich höhere Leistung erreichen. Die CPU 1802 kommuniziert mit der GPU 1814 über den PCle-Bus 1820. In anderen Ausführungsformen (nicht dargestellt) kann die GPU 1814 in die CPU 1802 integriert sein, anstatt mit dem PCle-Bus 1820 verbunden zu sein. Die Diskettensteuerung 1808 kann auch an den PCle-Bus angeschlossen sein, z.B. mit zwei Disketten 1810, die mit der Diskettensteuerung 1808 verbunden sind. Schließlich kann auch eine Steuerung 1812 für ein lokales Netzwerk (LAN) an den PCleBus angeschlossen sein und bietet Gigabit-Ethernet(GbE)-Konnektivität für andere Computer. In einigen Ausführungsformen werden die Computersoftware und/oder die Design-Daten auf Disketten 1810 gespeichert. In anderen Ausführungsformen kann entweder auf die Computerprogramme oder die Design-Daten oder sowohl auf die Computerprogramme als auch die Design-Daten von anderen Computern oder anderer Dateiserver-Hardware über das GbE-Ethernet zugegriffen werden.
[00104] Obwohl die Beschreibung im Detail in Bezug auf konkrete Ausführungsformen beschrieben wurde, versteht es sich, dass der Fachmann, nachdem er das Vorstehende verstanden hat, ohne Weiteres Änderungen, Abwandlungen und Äquivalente zu diesen Ausführungsformen in Betracht ziehen kann. Diese und andere Modifikationen und Abwandlungen der vorliegenden Verfahren zur Maskendatenaufbereitung und zur Proximity-Effekt-Korrektur können vom Durchschnittsfachmann praktiziert werden, ohne vom Schutzumfang des vorliegenden Gegenstands abzuweichen, der insbesondere in den beigefügten Ansprüchen dargelegt ist. Darüber hinaus versteht der Durchschnittsfachmann, dass die vorstehende Beschreibung lediglich beispielhaft ist und nicht beschränkend sein soll. Schritte können den Schritten in dieser Beschreibung hinzugefügt, entnommen oder modifiziert werden, ohne vom Schutzumfang der Erfindung abzuweichen. Im Allgemeinen sollen alle präsentierten Flussdiagramme lediglich eine mögliche Abfolge von Grundvorgängen angeben, um eine Funktion zu erzielen, und es sind viele Abwandlungen möglich. Somit ist beabsichtigt, dass der vorliegende Gegenstand solche Modifikationen und Abwandlungen abdeckt, die in den Schutzumfang der
beigefügten Ansprüche und ihrer Äquivalente fallen.

Claims (14)

PATENTANSPRÜCHE
1. Verfahren zum Belichten eines Musters in einem Bereich auf einer Oberfläche unter Verwendung eines Strahlensystems geladener Teilchen, wobei das Verfahren
Folgendes umfasst:
Eingeben eines ursprünglichen Satzes von Belichtungsinformationen für den
Bereich;
Eingeben einer Ziel-Post-Proximity-Effekt-Korrektur(PEC)-Maximaldosis, wobei
die Ziel-Post-PEC-Maximaldosis auf einer maximalen Schreibzeit basiert;
Bestimmen einer lokalen Musterdichte für den Bereich basierend auf dem
ursprünglichen Satz von Belichtungsinformationen;
Bestimmen einer Prä-PEC-Maximaldosis für die lokale Musterdichte basierend auf
der Ziel-Post-PEC-Maximaldosis; und
Modifizieren des ursprünglichen Satzes von Belichtungsinformationen mit der PräPEC-Maximaldosis, um einen modifizierten Satz von Belichtungsinformationen zu
erstellen.
2. Verfahren nach Anspruch 1, wobei der modifizierte Satz von Belichtungsinformationen durch PEC verfeinert wird, was zu angepassten Dosierungen
führt, die geringer als die Ziel-Post-PEC-Maximaldosis sind.
3. Verfahren nach Anspruch 1, ferner umfassend ein Bestimmen einer künstlichen Hintergrunddosis für den Bereich, wobei die künstliche Hintergrunddosis ein zusätzliches Muster mit einer unterschwelligen Belichtung umfasst und wobei das zusätzliche Muster mit dem modifizierten Satz von Belichtungsinformationen kombiniert
wird.
4. Verfahren nach Anspruch 3, wobei die künstliche Hintergrunddosis nur über einen vorbestimmten Abstand von einer Flanke des Musters auf der Oberfläche hinaus
angewendet wird.
5. Verfahren nach Anspruch 3, wobei der ursprüngliche Satz von Belichtungsinformationen Informationen für mehrere Belichtungsdurchgänge umfasst und wobei die künstliche Hintergrunddosis nur in einem Belichtungsdurchgang
hinzugefügt wird.
6. Verfahren nach Anspruch 3, ferner umfassend ein Berechnen einer Rückstreuung für den Bereich basierend auf dem ursprünglichen Satz von Belichtungsinformationen, wobei in dem Bereich, für den die berechnete Rückstreuung kleiner als ein vorbestimmter Schwellenwert ist, die künstliche Hintergrunddosis eine Differenz
zwischen dem vorbestimmten Schwellenwert und der berechneten Rückstreuung ist.
7. Verfahren nach Anspruch 3, wobei der Bereich in Teilbereiche unterteilt wird,
wobei die künstliche Hintergrunddosis für jeden Teilbereich bestimmt wird.
8. Verfahren nach Anspruch 7, wobei die künstliche Hintergrunddosis für jede
Position innerhalb eines einzelnen Teilbereichs über den Teilbereich interpoliert wird.
9. Verfahren nach Anspruch 8, wobei die Interpolation auf den künstlichen
Hintergrunddosen für benachbarte Teilbereiche basiert.
10. Verfahren nach Anspruch 3, wobei eine Maskenbelichtung inline mit einem oder mehreren Schritten durchgeführt wird, der/die aus der Gruppe bestehend aus Bestimmen der lokalen Musterdichte, Bestimmen der Prä-PEC-Maximaldosis, Bestimmen der künstlichen Hintergrunddosis und Erzeugen des modifizierten Satzes von
Belichtungsinformationen ausgewählt ist/sind.
11. Verfahren nach Anspruch 1, ferner umfassend ein Eingeben einer Zieldosisspanne, wobei die Zieldosisspanne verwendet wird, um die Ziel-Post-PEC-Maximaldosis zu
bestimmen.
12. Verfahren nach Anspruch 1, ferner umfassend ein Berechnen einer Dosisspanne
für das zu belichtende Muster.
13. Verfahren nach Anspruch 12, ferner umfassend ein Berechnen einer Zielmindestdosisspanne an einer vorbestimmten Flankenposition eines vorbestimmten
Musters in einem vorbestimmten Rückstreubereich;
wobei die Prä-PEC-Maximaldosis bestimmt wird, um die Dosisspanne des zu
belichtenden Musters unterhalb der Zielmindestdosisspanne zu erreichen.
14. Verfahren nach Anspruch 1, ferner umfassend ein Belichten der Oberfläche mit
dem modifizierten Satz von Belichtungsinformationen.
ATA9198/2020A 2019-05-24 2020-05-13 Verfahren und System zum Bestimmen einer Belichtung mit Strahlen geladener Teilchen für eine lokale Musterdichte AT526413A2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/422,269 US10748744B1 (en) 2019-05-24 2019-05-24 Method and system for determining a charged particle beam exposure for a local pattern density
PCT/IB2020/054546 WO2020240322A1 (en) 2019-05-24 2020-05-13 Method and system for determining a charged particle beam exposure for a local pattern density

Publications (1)

Publication Number Publication Date
AT526413A2 true AT526413A2 (de) 2024-01-15

Family

ID=72046106

Family Applications (1)

Application Number Title Priority Date Filing Date
ATA9198/2020A AT526413A2 (de) 2019-05-24 2020-05-13 Verfahren und System zum Bestimmen einer Belichtung mit Strahlen geladener Teilchen für eine lokale Musterdichte

Country Status (5)

Country Link
US (2) US10748744B1 (de)
JP (1) JP7474787B2 (de)
KR (1) KR20220000399A (de)
AT (1) AT526413A2 (de)
WO (1) WO2020240322A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11604451B2 (en) 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US11756765B2 (en) * 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US10748744B1 (en) * 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4463265A (en) 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
JP3192157B2 (ja) 1990-09-17 2001-07-23 株式会社東芝 電子ビーム描画方法及び描画装置
KR950027933A (ko) 1994-03-21 1995-10-18 김주용 위상반전 마스크
US5510214A (en) 1994-10-05 1996-04-23 United Microelectronics Corporation Double destruction phase shift mask
JP3334441B2 (ja) 1995-08-01 2002-10-15 ソニー株式会社 フォトマスク描画用パターンデータ補正方法と補正装置
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JP2000292907A (ja) 1999-04-02 2000-10-20 Nikon Corp 荷電粒子線露光装置及びレチクル
JP3508617B2 (ja) 1999-05-11 2004-03-22 株式会社日立製作所 電子線描画装置および電子線を用いた描画方法
KR100459697B1 (ko) 2001-12-27 2004-12-04 삼성전자주식회사 가변적인 후방 산란 계수를 이용하는 전자빔 노광 방법 및이를 기록한 컴퓨터로 읽을 수 있는 기록 매체
US6872507B2 (en) 2002-11-01 2005-03-29 Taiwan Semiconductor Manufacturing Company Radiation correction method for electron beam lithography
EP1612834A1 (de) * 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Prozess zur Kontrolle der Proximity-Effekt-Korrektur
US20080168419A1 (en) * 2007-01-04 2008-07-10 International Business Machines Corporation Optical proximity correction improvement by fracturing after pre-optical proximity correction
US20120219886A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US8057970B2 (en) * 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8221940B2 (en) * 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
US8137871B2 (en) * 2009-12-26 2012-03-20 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
US8221939B2 (en) 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US9612530B2 (en) * 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US8745549B2 (en) * 2012-02-05 2014-06-03 D2S, Inc. Method and system for forming high precision patterns using charged particle beam lithography
JP2013219288A (ja) 2012-04-11 2013-10-24 Fujitsu Semiconductor Ltd 露光方法および半導体装置の製造方法
WO2013158574A1 (en) 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP2013232531A (ja) * 2012-04-27 2013-11-14 Canon Inc 描画装置及び物品の製造方法
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
JP6076708B2 (ja) * 2012-11-21 2017-02-08 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビームの照射量チェック方法
KR102247563B1 (ko) * 2014-06-12 2021-05-03 삼성전자 주식회사 전자빔을 이용한 노광 방법과 그 노광 방법을 이용한 마스크 및 반도체 소자 제조방법
KR102302015B1 (ko) * 2015-03-17 2021-09-15 아이엠에스 나노패브릭케이션 게엠베하 완화된 임계 치수의 패턴 에어리어의 멀티빔 기록
JP2016225357A (ja) * 2015-05-27 2016-12-28 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
US10444629B2 (en) * 2016-06-28 2019-10-15 D2S, Inc. Bias correction for lithography
US10522329B2 (en) * 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
JP7126367B2 (ja) * 2018-03-29 2022-08-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US10748744B1 (en) * 2019-05-24 2020-08-18 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density

Also Published As

Publication number Publication date
JP2022533790A (ja) 2022-07-25
KR20220000399A (ko) 2022-01-03
US11062878B2 (en) 2021-07-13
US10748744B1 (en) 2020-08-18
TW202102933A (zh) 2021-01-16
JP7474787B2 (ja) 2024-04-25
WO2020240322A1 (en) 2020-12-03
US20200373122A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
DE102006041436B4 (de) Strahldosierungsberechnungsverfahren und Schreibverfahren und Aufzeichnungsträger und Schreibgerät
AT524377B1 (de) Verfahren und System zum Reduzieren einer Schreibzeit eines geladenen Teilchenstrahls
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
DE112006002656B4 (de) Größerer Prozesstoleranzbereich unter Verwendung diskreter Hilfsstrukturelemente
DE102015200792B4 (de) Geladene-Teilchen-Multistrahl-Schreibvorrichtung und Geladene-Teilchen-Multistrahl-Schreibverfahren
AT526413A2 (de) Verfahren und System zum Bestimmen einer Belichtung mit Strahlen geladener Teilchen für eine lokale Musterdichte
DE102013209313B4 (de) Geladener Teilschenstrahl-Lithographie-Vorrichtung und geladener Teilschenstrahl-Musterschreibverfahren
DE102007007080A1 (de) Mustererzeugungsverfahren und Einrichtung zum Schreiben mit geladenen Teilchen
TWI661265B (zh) 使用多重射束帶電粒子束微影術於表面上形成圖案之方法
TW201351030A (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法及系統
DE102014205634A1 (de) Ladungsteilchenstrahl-Schreibvorrichtung und Verfahren zum Akquirieren eines Dosismodulationskoeffizienten eines Ladungsteilchenstrahls
US20140353526A1 (en) Method and system for forming high accuracy patterns using charged particle beam lithography
DE102013213307B4 (de) Ladungsträgerteilchenstrahl-Schreibvorrichtung und Bestrahlungszeit-Einteilungsverfahren von Ladungsträgerteilchenstrahlen zum mehrfachen Schreiben
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
DE112019003899T5 (de) Schreibvorrichtung mit geladenem Partikelstrahl und Schreibverfahren mit geladenem Partikelstrahl
US20230386784A1 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
DE112021002703T5 (de) Verfahren zum Schreiben mit geladenen Teilchenstrahlen und Vorrichtung zum Schreiben mit geladenen Teilchenstrahlen
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
TWI840559B (zh) 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統
US11886166B2 (en) Method and system of reducing charged particle beam write time